Subversion Repositories Kolibri OS

Rev

Rev 692 | Go to most recent revision | Blame | Last modification | View Log | Download | RSS feed

  1.  
  2. ; flat assembler core
  3. ; Copyright (c) 1999-2007, Tomasz Grysztar.
  4. ; All rights reserved.
  5.  
  6. convert_expression:
  7.         push    ebp
  8.         call    get_fp_value
  9.         jnc     fp_expression
  10.         mov     [current_offset],esp
  11.       expression_loop:
  12.         push    edi
  13.         mov     edi,single_operand_operators
  14.         call    get_operator
  15.         pop     edi
  16.         or      al,al
  17.         jz      expression_element
  18.         push    eax
  19.         jmp     expression_loop
  20.       expression_element:
  21.         mov     al,[esi]
  22.         cmp     al,1Ah
  23.         je      expression_number
  24.         cmp     al,22h
  25.         je      expression_number
  26.         cmp     al,'('
  27.         je      expression_number
  28.         mov     al,'!'
  29.         stos    byte [edi]
  30.         jmp     expression_operator
  31.       expression_number:
  32.         call    convert_number
  33.       expression_operator:
  34.         push    edi
  35.         mov     edi,operators
  36.         call    get_operator
  37.         pop     edi
  38.         or      al,al
  39.         jz      expression_end
  40.       operators_loop:
  41.         cmp     esp,[current_offset]
  42.         je      push_operator
  43.         mov     bl,al
  44.         and     bl,0F0h
  45.         mov     bh,byte [esp]
  46.         and     bh,0F0h
  47.         cmp     bl,bh
  48.         ja      push_operator
  49.         pop     ebx
  50.         mov     byte [edi],bl
  51.         inc     edi
  52.         jmp     operators_loop
  53.       push_operator:
  54.         push    eax
  55.         jmp     expression_loop
  56.       expression_end:
  57.         cmp     esp,[current_offset]
  58.         je      expression_converted
  59.         pop     eax
  60.         stos    byte [edi]
  61.         jmp     expression_end
  62.       expression_converted:
  63.         pop     ebp
  64.         ret
  65.       fp_expression:
  66.         mov     al,'.'
  67.         stos    byte [edi]
  68.         mov     eax,[fp_value]
  69.         stos    dword [edi]
  70.         mov     eax,[fp_value+4]
  71.         stos    dword [edi]
  72.         mov     eax,[fp_value+8]
  73.         stos    dword [edi]
  74.         pop     ebp
  75.         ret
  76.  
  77. convert_number:
  78.         lea     eax,[edi-10h]
  79.         cmp     eax,[labels_list]
  80.         jae     out_of_memory
  81.         cmp     byte [esi],'('
  82.         je      expression_value
  83.         inc     edi
  84.         call    get_number
  85.         jc      symbol_value
  86.         or      ebp,ebp
  87.         jz      valid_number
  88.         mov     byte [edi-1],0Fh
  89.         ret
  90.       valid_number:
  91.         cmp     dword [edi+4],0
  92.         jne     qword_number
  93.         cmp     word [edi+2],0
  94.         jne     dword_number
  95.         cmp     byte [edi+1],0
  96.         jne     word_number
  97.       byte_number:
  98.         mov     byte [edi-1],1
  99.         inc     edi
  100.         ret
  101.       qword_number:
  102.         mov     byte [edi-1],8
  103.         add     edi,8
  104.         ret
  105.       dword_number:
  106.         mov     byte [edi-1],4
  107.         scas    dword [edi]
  108.         ret
  109.       word_number:
  110.         mov     byte [edi-1],2
  111.         scas    word [edi]
  112.         ret
  113.       expression_value:
  114.         mov     eax,esp
  115.         sub     eax,100h
  116.         jc      stack_overflow
  117.         cmp     eax,[stack_limit]
  118.         jb      stack_overflow
  119.         inc     esi
  120.         push    [current_offset]
  121.         call    convert_expression
  122.         pop     [current_offset]
  123.         lods    byte [esi]
  124.         cmp     al,')'
  125.         jne     invalid_expression
  126.         ret
  127.       symbol_value:
  128.         push    edi
  129.         mov     edi,address_registers
  130.         call    get_operator
  131.         or      al,al
  132.         jnz     register_value
  133.         mov     edi,directive_operators
  134.         call    get_operator
  135.         pop     edi
  136.         or      al,al
  137.         jnz     broken_value
  138.         lods    byte [esi]
  139.         cmp     al,1Ah
  140.         jne     invalid_value
  141.         lods    byte [esi]
  142.         movzx   ecx,al
  143.         call    get_label_id
  144.       store_label_value:
  145.         mov     byte [edi-1],11h
  146.         stos    dword [edi]
  147.         ret
  148.       broken_value:
  149.         mov     eax,0Fh
  150.         jmp     store_label_value
  151.       register_value:
  152.         pop     edi
  153.         mov     byte [edi-1],10h
  154.         stos    byte [edi]
  155.         ret
  156.  
  157. get_number:
  158.         xor     ebp,ebp
  159.         lods    byte [esi]
  160.         cmp     al,22h
  161.         je      get_text_number
  162.         cmp     al,1Ah
  163.         jne     not_number
  164.         lods    byte [esi]
  165.         movzx   ecx,al
  166.         mov     [number_start],esi
  167.         mov     al,[esi]
  168.         cmp     al,'$'
  169.         je      number_begin
  170.         sub     al,30h
  171.         cmp     al,9
  172.         ja      invalid_number
  173.       number_begin:
  174.         mov     ebx,esi
  175.         add     esi,ecx
  176.         push    esi
  177.         dec     esi
  178.         mov     dword [edi],0
  179.         mov     dword [edi+4],0
  180.         cmp     byte [ebx],'$'
  181.         je      pascal_hex_number
  182.         cmp     word [ebx],'0x'
  183.         je      get_hex_number
  184.         mov     al,[esi]
  185.         dec     esi
  186.         cmp     al,'h'
  187.         je      get_hex_number
  188.         cmp     al,'b'
  189.         je      get_bin_number
  190.         cmp     al,'d'
  191.         je      get_dec_number
  192.         cmp     al,'o'
  193.         je      get_oct_number
  194.         cmp     al,'H'
  195.         je      get_hex_number
  196.         cmp     al,'B'
  197.         je      get_bin_number
  198.         cmp     al,'D'
  199.         je      get_dec_number
  200.         cmp     al,'O'
  201.         je      get_oct_number
  202.         inc     esi
  203.       get_dec_number:
  204.         mov     ebx,esi
  205.         mov     esi,[number_start]
  206.       get_dec_digit:
  207.         cmp     esi,ebx
  208.         ja      number_ok
  209.         xor     edx,edx
  210.         mov     eax,[edi]
  211.         shld    edx,eax,2
  212.         shl     eax,2
  213.         add     eax,[edi]
  214.         adc     edx,0
  215.         add     eax,eax
  216.         adc     edx,edx
  217.         mov     [edi],eax
  218.         mov     eax,[edi+4]
  219.         add     eax,eax
  220.         jc      dec_out_of_range
  221.         add     eax,eax
  222.         jc      dec_out_of_range
  223.         add     eax,[edi+4]
  224.         jc      dec_out_of_range
  225.         add     eax,eax
  226.         jc      dec_out_of_range
  227.         add     eax,edx
  228.         jc      dec_out_of_range
  229.         mov     [edi+4],eax
  230.         movzx   eax,byte [esi]
  231.         sub     al,30h
  232.         cmp     al,9
  233.         ja      bad_number
  234.         add     [edi],eax
  235.         adc     dword [edi+4],0
  236.         jc      dec_out_of_range
  237.         inc     esi
  238.         jmp     get_dec_digit
  239.       dec_out_of_range:
  240.         or      ebp,-1
  241.         inc     esi
  242.         jmp     get_dec_digit
  243.       bad_number:
  244.         pop     eax
  245.       invalid_number:
  246.         mov     esi,[number_start]
  247.         dec     esi
  248.       not_number:
  249.         dec     esi
  250.         stc
  251.         ret
  252.       get_bin_number:
  253.         xor     bl,bl
  254.       get_bin_digit:
  255.         cmp     esi,[number_start]
  256.         jb      number_ok
  257.         movzx   eax,byte [esi]
  258.         sub     al,30h
  259.         cmp     al,1
  260.         ja      bad_number
  261.         xor     edx,edx
  262.         mov     cl,bl
  263.         dec     esi
  264.         cmp     bl,64
  265.         je      bin_out_of_range
  266.         inc     bl
  267.         cmp     cl,32
  268.         jae     bin_digit_high
  269.         shl     eax,cl
  270.         or      dword [edi],eax
  271.         jmp     get_bin_digit
  272.       bin_digit_high:
  273.         sub     cl,32
  274.         shl     eax,cl
  275.         or      dword [edi+4],eax
  276.         jmp     get_bin_digit
  277.       bin_out_of_range:
  278.         or      al,al
  279.         jz      get_bin_digit
  280.         or      ebp,-1
  281.         jmp     get_bin_digit
  282.       pascal_hex_number:
  283.         cmp     cl,1
  284.         je      bad_number
  285.       get_hex_number:
  286.         xor     bl,bl
  287.       get_hex_digit:
  288.         cmp     esi,[number_start]
  289.         jb      number_ok
  290.         movzx   eax,byte [esi]
  291.         cmp     al,'x'
  292.         je      hex_number_ok
  293.         cmp     al,'$'
  294.         je      pascal_hex_ok
  295.         sub     al,30h
  296.         cmp     al,9
  297.         jbe     hex_digit_ok
  298.         sub     al,7
  299.         cmp     al,15
  300.         jbe     hex_letter_digit_ok
  301.         sub     al,20h
  302.         cmp     al,15
  303.         ja      bad_number
  304.       hex_letter_digit_ok:
  305.         cmp     al,10
  306.         jb      bad_number
  307.       hex_digit_ok:
  308.         xor     edx,edx
  309.         mov     cl,bl
  310.         dec     esi
  311.         cmp     bl,64
  312.         je      hex_out_of_range
  313.         add     bl,4
  314.         cmp     cl,32
  315.         jae     hex_digit_high
  316.         shl     eax,cl
  317.         or      dword [edi],eax
  318.         jmp     get_hex_digit
  319.       hex_digit_high:
  320.         sub     cl,32
  321.         shl     eax,cl
  322.         or      dword [edi+4],eax
  323.         jmp     get_hex_digit
  324.       hex_out_of_range:
  325.         or      al,al
  326.         jz      get_hex_digit
  327.         or      ebp,-1
  328.         jmp     get_hex_digit
  329.       get_oct_number:
  330.         xor     bl,bl
  331.       get_oct_digit:
  332.         cmp     esi,[number_start]
  333.         jb      number_ok
  334.         movzx   eax,byte [esi]
  335.         sub     al,30h
  336.         cmp     al,7
  337.         ja      bad_number
  338.       oct_digit_ok:
  339.         xor     edx,edx
  340.         mov     cl,bl
  341.         dec     esi
  342.         cmp     bl,64
  343.         jae     oct_out_of_range
  344.         add     bl,3
  345.         cmp     cl,30
  346.         je      oct_digit_wrap
  347.         ja      oct_digit_high
  348.         shl     eax,cl
  349.         or      dword [edi],eax
  350.         jmp     get_oct_digit
  351.       oct_digit_wrap:
  352.         shl     eax,cl
  353.         adc     dword [edi+4],0
  354.         or      dword [edi],eax
  355.         jmp     get_oct_digit
  356.       oct_digit_high:
  357.         sub     cl,32
  358.         shl     eax,cl
  359.         or      dword [edi+4],eax
  360.         jmp     get_oct_digit
  361.       oct_out_of_range:
  362.         or      al,al
  363.         jz      get_oct_digit
  364.         or      ebp,-1
  365.         jmp     get_oct_digit
  366.       hex_number_ok:
  367.         dec     esi
  368.       pascal_hex_ok:
  369.         cmp     esi,[number_start]
  370.         jne     bad_number
  371.       number_ok:
  372.         pop     esi
  373.       number_done:
  374.         clc
  375.         ret
  376.       get_text_number:
  377.         lods    dword [esi]
  378.         mov     edx,eax
  379.         xor     bl,bl
  380.         mov     dword [edi],0
  381.         mov     dword [edi+4],0
  382.       get_text_character:
  383.         sub     edx,1
  384.         jc      number_done
  385.         movzx   eax,byte [esi]
  386.         inc     esi
  387.         mov     cl,bl
  388.         cmp     bl,64
  389.         je      text_out_of_range
  390.         add     bl,8
  391.         cmp     cl,32
  392.         jae     text_character_high
  393.         shl     eax,cl
  394.         or      dword [edi],eax
  395.         jmp     get_text_character
  396.       text_character_high:
  397.         sub     cl,32
  398.         shl     eax,cl
  399.         or      dword [edi+4],eax
  400.         jmp     get_text_character
  401.       text_out_of_range:
  402.         or      ebp,-1
  403.         jmp     get_text_character
  404.  
  405. get_fp_value:
  406.         push    edi esi
  407.         lods    byte [esi]
  408.         cmp     al,1Ah
  409.         je      fp_value_start
  410.         cmp     al,'-'
  411.         je      fp_sign_ok
  412.         cmp     al,'+'
  413.         jne     not_fp_value
  414.       fp_sign_ok:
  415.         lods    byte [esi]
  416.         cmp     al,1Ah
  417.         jne     not_fp_value
  418.       fp_value_start:
  419.         lods    byte [esi]
  420.         movzx   ecx,al
  421.         cmp     cl,1
  422.         jbe     not_fp_value
  423.         lea     edx,[esi+1]
  424.         xor     ah,ah
  425.       check_fp_value:
  426.         lods    byte [esi]
  427.         cmp     al,'.'
  428.         je      fp_character_dot
  429.         cmp     al,'E'
  430.         je      fp_character_exp
  431.         cmp     al,'e'
  432.         je      fp_character_exp
  433.         cmp     al,'F'
  434.         je      fp_last_character
  435.         cmp     al,'f'
  436.         je      fp_last_character
  437.       digit_expected:
  438.         cmp     al,'0'
  439.         jb      not_fp_value
  440.         cmp     al,'9'
  441.         ja      not_fp_value
  442.         jmp     fp_character_ok
  443.       fp_character_dot:
  444.         cmp     esi,edx
  445.         je      not_fp_value
  446.         or      ah,ah
  447.         jnz     not_fp_value
  448.         or      ah,1
  449.         lods    byte [esi]
  450.         loop    digit_expected
  451.       not_fp_value:
  452.         pop     esi edi
  453.         stc
  454.         ret
  455.       fp_last_character:
  456.         cmp     cl,1
  457.         jne     not_fp_value
  458.         or      ah,4
  459.         jmp     fp_character_ok
  460.       fp_character_exp:
  461.         cmp     esi,edx
  462.         je      not_fp_value
  463.         cmp     ah,1
  464.         ja      not_fp_value
  465.         or      ah,2
  466.         cmp     ecx,1
  467.         jne     fp_character_ok
  468.         cmp     byte [esi],'+'
  469.         je      fp_exp_sign
  470.         cmp     byte [esi],'-'
  471.         jne     fp_character_ok
  472.       fp_exp_sign:
  473.         inc     esi
  474.         cmp     byte [esi],1Ah
  475.         jne     not_fp_value
  476.         inc     esi
  477.         lods    byte [esi]
  478.         movzx   ecx,al
  479.         inc     ecx
  480.       fp_character_ok:
  481.         dec     ecx
  482.         jnz     check_fp_value
  483.         or      ah,ah
  484.         jz      not_fp_value
  485.         pop     esi
  486.         lods    byte [esi]
  487.         mov     [fp_sign],0
  488.         cmp     al,1Ah
  489.         je      fp_get
  490.         inc     esi
  491.         cmp     al,'+'
  492.         je      fp_get
  493.         mov     [fp_sign],1
  494.       fp_get:
  495.         lods    byte [esi]
  496.         movzx   ecx,al
  497.         xor     edx,edx
  498.         mov     edi,fp_value
  499.         mov     [edi],edx
  500.         mov     [edi+4],edx
  501.         mov     [edi+12],edx
  502.         call    fp_optimize
  503.         mov     [fp_format],0
  504.         mov     al,[esi]
  505.       fp_before_dot:
  506.         lods    byte [esi]
  507.         cmp     al,'.'
  508.         je      fp_dot
  509.         cmp     al,'E'
  510.         je      fp_exponent
  511.         cmp     al,'e'
  512.         je      fp_exponent
  513.         cmp     al,'F'
  514.         je      fp_done
  515.         cmp     al,'f'
  516.         je      fp_done
  517.         sub     al,30h
  518.         mov     edi,fp_value+16
  519.         xor     edx,edx
  520.         mov     dword [edi+12],edx
  521.         mov     dword [edi],edx
  522.         mov     dword [edi+4],edx
  523.         mov     [edi+7],al
  524.         mov     dl,7
  525.         mov     dword [edi+8],edx
  526.         call    fp_optimize
  527.         mov     edi,fp_value
  528.         push    ecx
  529.         mov     ecx,10
  530.         call    fp_mul
  531.         pop     ecx
  532.         mov     ebx,fp_value+16
  533.         call    fp_add
  534.         loop    fp_before_dot
  535.       fp_dot:
  536.         mov     edi,fp_value+16
  537.         xor     edx,edx
  538.         mov     [edi],edx
  539.         mov     [edi+4],edx
  540.         mov     byte [edi+7],80h
  541.         mov     [edi+8],edx
  542.         mov     dword [edi+12],edx
  543.         dec     ecx
  544.         jz      fp_done
  545.       fp_after_dot:
  546.         lods    byte [esi]
  547.         cmp     al,'E'
  548.         je      fp_exponent
  549.         cmp     al,'e'
  550.         je      fp_exponent
  551.         cmp     al,'F'
  552.         je      fp_done
  553.         cmp     al,'f'
  554.         je      fp_done
  555.         inc     [fp_format]
  556.         cmp     [fp_format],80h
  557.         jne     fp_counter_ok
  558.         mov     [fp_format],7Fh
  559.       fp_counter_ok:
  560.         dec     esi
  561.         mov     edi,fp_value+16
  562.         push    ecx
  563.         mov     ecx,10
  564.         call    fp_div
  565.         push    dword [edi]
  566.         push    dword [edi+4]
  567.         push    dword [edi+8]
  568.         push    dword [edi+12]
  569.         lods    byte [esi]
  570.         sub     al,30h
  571.         movzx   ecx,al
  572.         call    fp_mul
  573.         mov     ebx,edi
  574.         mov     edi,fp_value
  575.         call    fp_add
  576.         mov     edi,fp_value+16
  577.         pop     dword [edi+12]
  578.         pop     dword [edi+8]
  579.         pop     dword [edi+4]
  580.         pop     dword [edi]
  581.         pop     ecx
  582.         dec     ecx
  583.         jnz     fp_after_dot
  584.         jmp     fp_done
  585.       fp_exponent:
  586.         or      [fp_format],80h
  587.         xor     edx,edx
  588.         xor     ebp,ebp
  589.         dec     ecx
  590.         jnz     get_exponent
  591.         cmp     byte [esi],'+'
  592.         je      fp_exponent_sign
  593.         cmp     byte [esi],'-'
  594.         jne     fp_done
  595.         not     ebp
  596.       fp_exponent_sign:
  597.         add     esi,2
  598.         lods    byte [esi]
  599.         movzx   ecx,al
  600.       get_exponent:
  601.         movzx   eax,byte [esi]
  602.         inc     esi
  603.         sub     al,30h
  604.         cmp     al,10
  605.         jae     exponent_ok
  606.         imul    edx,10
  607.         cmp     edx,8000h
  608.         jae     value_out_of_range
  609.         add     edx,eax
  610.         loop    get_exponent
  611.       exponent_ok:
  612.         mov     edi,fp_value
  613.         or      edx,edx
  614.         jz      fp_done
  615.         mov     ecx,edx
  616.         or      ebp,ebp
  617.         jnz     fp_negative_power
  618.       fp_power:
  619.         push    ecx
  620.         mov     ecx,10
  621.         call    fp_mul
  622.         pop     ecx
  623.         loop    fp_power
  624.         jmp     fp_done
  625.       fp_negative_power:
  626.         push    ecx
  627.         mov     ecx,10
  628.         call    fp_div
  629.         pop     ecx
  630.         loop    fp_negative_power
  631.       fp_done:
  632.         mov     edi,fp_value
  633.         mov     al,[fp_format]
  634.         mov     [edi+10],al
  635.         mov     al,[fp_sign]
  636.         mov     [edi+11],al
  637.         test    byte [edi+15],80h
  638.         jz      fp_ok
  639.         add     dword [edi],1
  640.         adc     dword [edi+4],0
  641.         jnc     fp_ok
  642.         mov     eax,[edi+4]
  643.         shrd    [edi],eax,1
  644.         shr     eax,1
  645.         or      eax,80000000h
  646.         mov     [edi+4],eax
  647.         inc     word [edi+8]
  648.       fp_ok:
  649.         pop     edi
  650.         clc
  651.         ret
  652.       fp_mul:
  653.         or      ecx,ecx
  654.         jz      fp_zero
  655.         mov     eax,[edi+12]
  656.         mul     ecx
  657.         mov     [edi+12],eax
  658.         mov     ebx,edx
  659.         mov     eax,[edi]
  660.         mul     ecx
  661.         add     eax,ebx
  662.         adc     edx,0
  663.         mov     [edi],eax
  664.         mov     ebx,edx
  665.         mov     eax,[edi+4]
  666.         mul     ecx
  667.         add     eax,ebx
  668.         adc     edx,0
  669.         mov     [edi+4],eax
  670.       .loop:
  671.         or      edx,edx
  672.         jz      .done
  673.         mov     eax,[edi]
  674.         shrd    [edi+12],eax,1
  675.         mov     eax,[edi+4]
  676.         shrd    [edi],eax,1
  677.         shrd    eax,edx,1
  678.         mov     [edi+4],eax
  679.         shr     edx,1
  680.         inc     dword [edi+8]
  681.         cmp     dword [edi+8],8000h
  682.         jge     value_out_of_range
  683.         jmp     .loop
  684.       .done:
  685.         ret
  686.       fp_div:
  687.         mov     eax,[edi+4]
  688.         xor     edx,edx
  689.         div     ecx
  690.         mov     [edi+4],eax
  691.         mov     eax,[edi]
  692.         div     ecx
  693.         mov     [edi],eax
  694.         mov     eax,[edi+12]
  695.         div     ecx
  696.         mov     [edi+12],eax
  697.         mov     ebx,eax
  698.         or      ebx,[edi]
  699.         or      ebx,[edi+4]
  700.         jz      fp_zero
  701.       .loop:
  702.         test    byte [edi+7],80h
  703.         jnz     .exp_ok
  704.         mov     eax,[edi]
  705.         shld    [edi+4],eax,1
  706.         mov     eax,[edi+12]
  707.         shld    [edi],eax,1
  708.         add     eax,eax
  709.         mov     [edi+12],eax
  710.         dec     dword [edi+8]
  711.         add     edx,edx
  712.         jmp     .loop
  713.       .exp_ok:
  714.         mov     eax,edx
  715.         xor     edx,edx
  716.         div     ecx
  717.         add     [edi+12],eax
  718.         adc     dword [edi],0
  719.         adc     dword [edi+4],0
  720.         jnc     .done
  721.         mov     eax,[edi+4]
  722.         mov     ebx,[edi]
  723.         shrd    [edi],eax,1
  724.         shrd    [edi+12],ebx,1
  725.         shr     eax,1
  726.         or      eax,80000000h
  727.         mov     [edi+4],eax
  728.         inc     dword [edi+8]
  729.       .done:
  730.         ret
  731.       fp_add:
  732.         cmp     dword [ebx+8],8000h
  733.         je      .done
  734.         cmp     dword [edi+8],8000h
  735.         je      .copy
  736.         mov     eax,[ebx+8]
  737.         cmp     eax,[edi+8]
  738.         jge     .exp_ok
  739.         mov     eax,[edi+8]
  740.       .exp_ok:
  741.         call    .change_exp
  742.         xchg    ebx,edi
  743.         call    .change_exp
  744.         xchg    ebx,edi
  745.         mov     edx,[ebx+12]
  746.         mov     eax,[ebx]
  747.         mov     ebx,[ebx+4]
  748.         add     [edi+12],edx
  749.         adc     [edi],eax
  750.         adc     [edi+4],ebx
  751.         jnc     .done
  752.         mov     eax,[edi]
  753.         shrd    [edi+12],eax,1
  754.         mov     eax,[edi+4]
  755.         shrd    [edi],eax,1
  756.         shr     eax,1
  757.         or      eax,80000000h
  758.         mov     [edi+4],eax
  759.         inc     dword [edi+8]
  760.       .done:
  761.         ret
  762.       .copy:
  763.         mov     eax,[ebx]
  764.         mov     [edi],eax
  765.         mov     eax,[ebx+4]
  766.         mov     [edi+4],eax
  767.         mov     eax,[ebx+8]
  768.         mov     [edi+8],eax
  769.         mov     eax,[ebx+12]
  770.         mov     [edi+12],eax
  771.         ret
  772.       .change_exp:
  773.         push    ecx
  774.         mov     ecx,eax
  775.         sub     ecx,[ebx+8]
  776.         mov     edx,[ebx+4]
  777.         jecxz   .exp_done
  778.       .exp_loop:
  779.         mov     ebp,[ebx]
  780.         shrd    [ebx+12],ebp,1
  781.         shrd    [ebx],edx,1
  782.         shr     edx,1
  783.         inc     dword [ebx+8]
  784.         loop    .exp_loop
  785.       .exp_done:
  786.         mov     [ebx+4],edx
  787.         pop     ecx
  788.         ret
  789.       fp_optimize:
  790.         mov     eax,[edi]
  791.         mov     ebp,[edi+4]
  792.         or      ebp,[edi]
  793.         or      ebp,[edi+12]
  794.         jz      fp_zero
  795.       .loop:
  796.         test    byte [edi+7],80h
  797.         jnz     .done
  798.         shld    [edi+4],eax,1
  799.         mov     ebp,[edi+12]
  800.         shld    eax,ebp,1
  801.         mov     [edi],eax
  802.         shl     dword [edi+12],1
  803.         dec     dword [edi+8]
  804.         jmp     .loop
  805.       .done:
  806.         ret
  807.       fp_zero:
  808.         mov     dword [edi+8],8000h
  809.         ret
  810.  
  811. calculate_expression:
  812.         mov     [current_offset],edi
  813.         mov     [value_undefined],0
  814.         cmp     byte [esi],0
  815.         je      get_string_value
  816.         cmp     byte [esi],'.'
  817.         je      convert_fp
  818.       calculation_loop:
  819.         lods    byte [esi]
  820.         cmp     al,1
  821.         je      get_byte_number
  822.         cmp     al,2
  823.         je      get_word_number
  824.         cmp     al,4
  825.         je      get_dword_number
  826.         cmp     al,8
  827.         je      get_qword_number
  828.         cmp     al,0Fh
  829.         je      value_out_of_range
  830.         cmp     al,10h
  831.         je      get_register
  832.         cmp     al,11h
  833.         je      get_label
  834.         cmp     al,')'
  835.         je      expression_calculated
  836.         cmp     al,']'
  837.         je      expression_calculated
  838.         cmp     al,'!'
  839.         je      invalid_expression
  840.         sub     edi,14h
  841.         mov     ebx,edi
  842.         sub     ebx,14h
  843.         cmp     al,0E0h
  844.         je      calculate_rva
  845.         cmp     al,0E1h
  846.         je      calculate_plt
  847.         cmp     al,0D0h
  848.         je      calculate_not
  849.         cmp     al,083h
  850.         je      calculate_neg
  851.         mov     dx,[ebx+8]
  852.         or      dx,[edi+8]
  853.         cmp     al,80h
  854.         je      calculate_add
  855.         cmp     al,81h
  856.         je      calculate_sub
  857.         mov     ah,[ebx+12]
  858.         or      ah,[edi+12]
  859.         jz      absolute_values_calculation
  860.         cmp     [error_line],0
  861.         jne     absolute_values_calculation
  862.         mov     eax,[current_line]
  863.         mov     [error_line],eax
  864.         mov     [error],invalid_use_of_symbol
  865.       absolute_values_calculation:
  866.         cmp     al,90h
  867.         je      calculate_mul
  868.         cmp     al,91h
  869.         je      calculate_div
  870.         or      dx,dx
  871.         jnz     invalid_expression
  872.         cmp     al,0A0h
  873.         je      calculate_mod
  874.         cmp     al,0B0h
  875.         je      calculate_and
  876.         cmp     al,0B1h
  877.         je      calculate_or
  878.         cmp     al,0B2h
  879.         je      calculate_xor
  880.         cmp     al,0C0h
  881.         je      calculate_shl
  882.         cmp     al,0C1h
  883.         je      calculate_shr
  884.         jmp     invalid_expression
  885.       expression_calculated:
  886.         sub     edi,14h
  887.         cmp     [value_undefined],0
  888.         je      expression_value_ok
  889.         xor     eax,eax
  890.         mov     [edi],eax
  891.         mov     [edi+4],eax
  892.         mov     [edi+12],al
  893.       expression_value_ok:
  894.         ret
  895.       get_byte_number:
  896.         xor     eax,eax
  897.         lods    byte [esi]
  898.         stos    dword [edi]
  899.         xor     al,al
  900.         stos    dword [edi]
  901.       got_number:
  902.         mov     word [edi-8+8],0
  903.         mov     byte [edi-8+12],0
  904.         mov     dword [edi-8+16],0
  905.         add     edi,0Ch
  906.         jmp     calculation_loop
  907.       get_word_number:
  908.         xor     eax,eax
  909.         lods    word [esi]
  910.         stos    dword [edi]
  911.         xor     ax,ax
  912.         stos    dword [edi]
  913.         jmp     got_number
  914.       get_dword_number:
  915.         movs    dword [edi],[esi]
  916.         xor     eax,eax
  917.         stos    dword [edi]
  918.         jmp     got_number
  919.       get_qword_number:
  920.         movs    dword [edi],[esi]
  921.         movs    dword [edi],[esi]
  922.         jmp     got_number
  923.       get_register:
  924.         mov     byte [edi+9],0
  925.         mov     byte [edi+12],0
  926.         lods    byte [esi]
  927.         mov     [edi+8],al
  928.         mov     byte [edi+10],1
  929.         xor     eax,eax
  930.         mov     [edi+16],eax
  931.         stos    dword [edi]
  932.         stos    dword [edi]
  933.         add     edi,0Ch
  934.         jmp     calculation_loop
  935.       get_label:
  936.         xor     eax,eax
  937.         mov     [edi+8],eax
  938.         mov     [edi+12],al
  939.         mov     [edi+20],eax
  940.         lods    dword [esi]
  941.         cmp     eax,0Fh
  942.         jb      predefined_label
  943.         je      reserved_word_used_as_symbol
  944.         mov     ebx,eax
  945.         mov     ax,[current_pass]
  946.         mov     [ebx+18],ax
  947.         or      byte [ebx+8],8
  948.         test    byte [ebx+8],1
  949.         jz      label_undefined
  950.         cmp     ax,[ebx+16]
  951.         je      label_defined
  952.         test    byte [ebx+8],4
  953.         jnz     label_out_of_scope
  954.         test    byte [ebx+9],1
  955.         jz      label_defined
  956.         mov     eax,[ebx]
  957.         sub     eax,dword [adjustment]
  958.         stos    dword [edi]
  959.         mov     eax,[ebx+4]
  960.         sbb     eax,dword [adjustment+4]
  961.         stos    dword [edi]
  962.         mov     eax,dword [adjustment]
  963.         or      eax,dword [adjustment+4]
  964.         jz      got_label
  965.         or      [next_pass_needed],-1
  966.         jmp     got_label
  967.       label_defined:
  968.         mov     eax,[ebx]
  969.         stos    dword [edi]
  970.         mov     eax,[ebx+4]
  971.         stos    dword [edi]
  972.       got_label:
  973.         mov     al,[ebx+11]
  974.         mov     [edi-8+12],al
  975.         mov     eax,[ebx+12]
  976.         mov     [edi-8+8],eax
  977.         mov     eax,[ebx+20]
  978.         mov     [edi-8+16],eax
  979.         add     edi,0Ch
  980.         mov     al,[ebx+10]
  981.         or      al,al
  982.         jz      calculation_loop
  983.         cmp     [size_override],-1
  984.         je      calculation_loop
  985.         cmp     [size_override],0
  986.         je      check_size
  987.         cmp     [operand_size],0
  988.         jne     calculation_loop
  989.         mov     [operand_size],al
  990.         jmp     calculation_loop
  991.       check_size:
  992.         xchg    [operand_size],al
  993.         or      al,al
  994.         jz      calculation_loop
  995.         cmp     al,[operand_size]
  996.         jne     operand_sizes_do_not_match
  997.         jmp     calculation_loop
  998.       current_offset_label:
  999.         mov     al,[labels_type]
  1000.         mov     [edi+12],al
  1001.         mov     eax,[org_symbol]
  1002.         mov     [edi+16],eax
  1003.         mov     eax,[current_offset]
  1004.         xor     edx,edx
  1005.         sub     eax,dword [org_origin]
  1006.         sbb     edx,dword [org_origin+4]
  1007.         stos    dword [edi]
  1008.         mov     eax,edx
  1009.         stos    dword [edi]
  1010.         mov     eax,[org_registers]
  1011.         stos    dword [edi]
  1012.         add     edi,8
  1013.         jmp     calculation_loop
  1014.       org_origin_label:
  1015.         mov     al,[labels_type]
  1016.         mov     [edi+12],al
  1017.         mov     eax,[org_symbol]
  1018.         mov     [edi+16],eax
  1019.         mov     eax,[org_start]
  1020.         xor     edx,edx
  1021.         sub     eax,dword [org_origin]
  1022.         sbb     edx,dword [org_origin+4]
  1023.         stos    dword [edi]
  1024.         mov     eax,edx
  1025.         stos    dword [edi]
  1026.         mov     eax,[org_registers]
  1027.         stos    dword [edi]
  1028.         add     edi,8
  1029.         jmp     calculation_loop
  1030.       counter_label:
  1031.         mov     eax,[counter]
  1032.       make_dword_label_value:
  1033.         stos    dword [edi]
  1034.         xor     eax,eax
  1035.         stos    dword [edi]
  1036.         add     edi,0Ch
  1037.         jmp     calculation_loop
  1038.       timestamp_label:
  1039.         call    make_timestamp
  1040.         jmp     make_dword_label_value
  1041.       predefined_label:
  1042.         or      eax,eax
  1043.         jz      current_offset_label
  1044.         cmp     eax,1
  1045.         je      counter_label
  1046.         cmp     eax,2
  1047.         je      timestamp_label
  1048.         cmp     eax,3
  1049.         je      org_origin_label
  1050.         mov     edx,invalid_value
  1051.         jmp     error_undefined
  1052.       label_out_of_scope:
  1053.         mov     edx,symbol_out_of_scope
  1054.         mov     eax,[ebx+24]
  1055.         cmp     [error_line],0
  1056.         jne     error_undefined
  1057.         mov     [error_info],eax
  1058.         jmp     error_undefined
  1059.       label_undefined:
  1060.         mov     edx,undefined_symbol
  1061.         cmp     [error_line],0
  1062.         jne     error_undefined
  1063.         mov     eax,[ebx+24]
  1064.         mov     [error_info],eax
  1065.       error_undefined:
  1066.         cmp     [current_pass],1
  1067.         ja      undefined_value
  1068.       force_next_pass:
  1069.         or      [next_pass_needed],-1
  1070.       undefined_value:
  1071.         mov     byte [edi+12],0
  1072.         or      [value_undefined],-1
  1073.         xor     eax,eax
  1074.         stos    dword [edi]
  1075.         stos    dword [edi]
  1076.         add     edi,0Ch
  1077.         cmp     [error_line],0
  1078.         jne     calculation_loop
  1079.         mov     eax,[current_line]
  1080.         mov     [error_line],eax
  1081.         mov     [error],edx
  1082.         jmp     calculation_loop
  1083.       calculate_add:
  1084.         mov     ecx,[ebx+16]
  1085.         cmp     byte [edi+12],0
  1086.         je      add_values
  1087.         mov     ecx,[edi+16]
  1088.         cmp     byte [ebx+12],0
  1089.         je      add_values
  1090.         cmp     [error_line],0
  1091.         jne     add_values
  1092.         mov     eax,[current_line]
  1093.         mov     [error_line],eax
  1094.         mov     [error],invalid_use_of_symbol
  1095.       add_values:
  1096.         mov     al,[edi+12]
  1097.         or      [ebx+12],al
  1098.         mov     [ebx+16],ecx
  1099.         mov     eax,[edi]
  1100.         add     [ebx],eax
  1101.         mov     eax,[edi+4]
  1102.         adc     [ebx+4],eax
  1103.         or      dx,dx
  1104.         jz      calculation_loop
  1105.         push    esi
  1106.         mov     esi,ebx
  1107.         lea     ebx,[edi+10]
  1108.         mov     cl,[edi+8]
  1109.         call    add_register
  1110.         lea     ebx,[edi+11]
  1111.         mov     cl,[edi+9]
  1112.         call    add_register
  1113.         pop     esi
  1114.         jmp     calculation_loop
  1115.       add_register:
  1116.         or      cl,cl
  1117.         jz      add_register_done
  1118.       add_register_start:
  1119.         cmp     [esi+8],cl
  1120.         jne     add_in_second_slot
  1121.         mov     al,[ebx]
  1122.         add     [esi+10],al
  1123.         jnz     add_register_done
  1124.         mov     byte [esi+8],0
  1125.         ret
  1126.       add_in_second_slot:
  1127.         cmp     [esi+9],cl
  1128.         jne     create_in_first_slot
  1129.         mov     al,[ebx]
  1130.         add     [esi+11],al
  1131.         jnz     add_register_done
  1132.         mov     byte [esi+9],0
  1133.         ret
  1134.       create_in_first_slot:
  1135.         cmp     byte [esi+8],0
  1136.         jne     create_in_second_slot
  1137.         mov     [esi+8],cl
  1138.         mov     al,[ebx]
  1139.         mov     [esi+10],al
  1140.         ret
  1141.       create_in_second_slot:
  1142.         cmp     byte [esi+9],0
  1143.         jne     invalid_expression
  1144.         mov     [esi+9],cl
  1145.         mov     al,[ebx]
  1146.         mov     [esi+11],al
  1147.       add_register_done:
  1148.         ret
  1149.       calculate_sub:
  1150.         xor     ah,ah
  1151.         mov     ah,[ebx+12]
  1152.         mov     al,[edi+12]
  1153.         or      al,al
  1154.         jz      sub_values
  1155.         cmp     al,ah
  1156.         jne     invalid_sub
  1157.         xor     ah,ah
  1158.         mov     ecx,[edi+16]
  1159.         cmp     ecx,[ebx+16]
  1160.         jne     invalid_sub
  1161.       sub_values:
  1162.         mov     [ebx+12],ah
  1163.         mov     eax,[edi]
  1164.         sub     [ebx],eax
  1165.         mov     eax,[edi+4]
  1166.         sbb     [ebx+4],eax
  1167.         or      dx,dx
  1168.         jz      calculation_loop
  1169.         push    esi
  1170.         mov     esi,ebx
  1171.         lea     ebx,[edi+10]
  1172.         mov     cl,[edi+8]
  1173.         call    sub_register
  1174.         lea     ebx,[edi+11]
  1175.         mov     cl,[edi+9]
  1176.         call    sub_register
  1177.         pop     esi
  1178.         jmp     calculation_loop
  1179.       invalid_sub:
  1180.         cmp     [error_line],0
  1181.         jne     sub_values
  1182.         mov     eax,[current_line]
  1183.         mov     [error_line],eax
  1184.         mov     [error],invalid_use_of_symbol
  1185.         jmp     sub_values
  1186.       sub_register:
  1187.         or      cl,cl
  1188.         jz      add_register_done
  1189.         neg     byte [ebx]
  1190.         jmp     add_register_start
  1191.       calculate_mul:
  1192.         or      dx,dx
  1193.         jz      mul_start
  1194.         cmp     word [ebx+8],0
  1195.         jne     mul_start
  1196.         mov     eax,[ebx]
  1197.         xchg    eax,[edi]
  1198.         mov     [ebx],eax
  1199.         mov     eax,[ebx+4]
  1200.         xchg    eax,[edi+4]
  1201.         mov     [ebx+4],eax
  1202.         mov     eax,[ebx+8]
  1203.         xchg    eax,[edi+8]
  1204.         mov     [ebx+8],eax
  1205.         mov     eax,[ebx+12]
  1206.         xchg    eax,[edi+12]
  1207.         mov     [ebx+12],eax
  1208.       mul_start:
  1209.         push    esi edx
  1210.         mov     esi,ebx
  1211.         xor     bl,bl
  1212.         bt      dword [esi+4],31
  1213.         jnc     mul_first_sign_ok
  1214.         not     dword [esi]
  1215.         not     dword [esi+4]
  1216.         add     dword [esi],1
  1217.         adc     dword [esi+4],0
  1218.         not     bl
  1219.       mul_first_sign_ok:
  1220.         bt      dword [edi+4],31
  1221.         jnc     mul_second_sign_ok
  1222.         not     dword [edi]
  1223.         not     dword [edi+4]
  1224.         add     dword [edi],1
  1225.         adc     dword [edi+4],0
  1226.         not     bl
  1227.       mul_second_sign_ok:
  1228.         cmp     dword [esi+4],0
  1229.         jz      mul_numbers
  1230.         cmp     dword [edi+4],0
  1231.         jnz     value_out_of_range
  1232.       mul_numbers:
  1233.         mov     eax,[esi+4]
  1234.         mul     dword [edi]
  1235.         or      edx,edx
  1236.         jnz     value_out_of_range
  1237.         mov     ecx,eax
  1238.         mov     eax,[esi]
  1239.         mul     dword [edi+4]
  1240.         or      edx,edx
  1241.         jnz     value_out_of_range
  1242.         add     ecx,eax
  1243.         jc      value_out_of_range
  1244.         mov     eax,[esi]
  1245.         mul     dword [edi]
  1246.         add     edx,ecx
  1247.         jc      value_out_of_range
  1248.         test    edx,1 shl 31
  1249.         jnz     value_out_of_range
  1250.         mov     [esi],eax
  1251.         mov     [esi+4],edx
  1252.         or      bl,bl
  1253.         jz      mul_ok
  1254.         not     dword [esi]
  1255.         not     dword [esi+4]
  1256.         add     dword [esi],1
  1257.         adc     dword [esi+4],0
  1258.       mul_ok:
  1259.         pop     edx
  1260.         or      dx,dx
  1261.         jz      mul_calculated
  1262.         cmp     word [edi+8],0
  1263.         jne     invalid_value
  1264.         cmp     byte [esi+8],0
  1265.         je      mul_first_register_ok
  1266.         mov     al,[edi]
  1267.         cbw
  1268.         cwde
  1269.         cdq
  1270.         cmp     edx,[edi+4]
  1271.         jne     value_out_of_range
  1272.         cmp     eax,[edi]
  1273.         jne     value_out_of_range
  1274.         imul    byte [esi+10]
  1275.         mov     dl,ah
  1276.         cbw
  1277.         cmp     ah,dl
  1278.         jne     value_out_of_range
  1279.         mov     [esi+10],al
  1280.         or      al,al
  1281.         jnz     mul_first_register_ok
  1282.         mov     [esi+8],al
  1283.       mul_first_register_ok:
  1284.         cmp     byte [esi+9],0
  1285.         je      mul_calculated
  1286.         mov     al,[edi]
  1287.         cbw
  1288.         cwde
  1289.         cdq
  1290.         cmp     edx,[edi+4]
  1291.         jne     value_out_of_range
  1292.         cmp     eax,[edi]
  1293.         jne     value_out_of_range
  1294.         imul    byte [esi+11]
  1295.         mov     dl,ah
  1296.         cbw
  1297.         cmp     ah,dl
  1298.         jne     value_out_of_range
  1299.         mov     [esi+11],al
  1300.         or      al,al
  1301.         jnz     mul_calculated
  1302.         mov     [esi+9],al
  1303.       mul_calculated:
  1304.         pop     esi
  1305.         jmp     calculation_loop
  1306.       calculate_div:
  1307.         push    esi edx
  1308.         mov     esi,ebx
  1309.         call    div_64
  1310.         pop     edx
  1311.         or      dx,dx
  1312.         jz      div_calculated
  1313.         cmp     byte [esi+8],0
  1314.         je      div_first_register_ok
  1315.         mov     al,[edi]
  1316.         cbw
  1317.         cwde
  1318.         cdq
  1319.         cmp     edx,[edi+4]
  1320.         jne     value_out_of_range
  1321.         cmp     eax,[edi]
  1322.         jne     value_out_of_range
  1323.         or      al,al
  1324.         jz      value_out_of_range
  1325.         mov     al,[esi+10]
  1326.         cbw
  1327.         idiv    byte [edi]
  1328.         or      ah,ah
  1329.         jnz     invalid_use_of_symbol
  1330.         mov     [esi+10],al
  1331.       div_first_register_ok:
  1332.         cmp     byte [esi+9],0
  1333.         je      div_calculated
  1334.         mov     al,[edi]
  1335.         cbw
  1336.         cwde
  1337.         cdq
  1338.         cmp     edx,[edi+4]
  1339.         jne     value_out_of_range
  1340.         cmp     eax,[edi]
  1341.         jne     value_out_of_range
  1342.         or      al,al
  1343.         jz      value_out_of_range
  1344.         mov     al,[esi+11]
  1345.         cbw
  1346.         idiv    byte [edi]
  1347.         or      ah,ah
  1348.         jnz     invalid_use_of_symbol
  1349.         mov     [esi+11],al
  1350.       div_calculated:
  1351.         pop     esi
  1352.         jmp     calculation_loop
  1353.       calculate_mod:
  1354.         push    esi
  1355.         mov     esi,ebx
  1356.         call    div_64
  1357.         mov     [esi],eax
  1358.         mov     [esi+4],edx
  1359.         pop     esi
  1360.         jmp     calculation_loop
  1361.       calculate_and:
  1362.         mov     eax,[edi]
  1363.         and     [ebx],eax
  1364.         mov     eax,[edi+4]
  1365.         and     [ebx+4],eax
  1366.         jmp     calculation_loop
  1367.       calculate_or:
  1368.         mov     eax,[edi]
  1369.         or      [ebx],eax
  1370.         mov     eax,[edi+4]
  1371.         or      [ebx+4],eax
  1372.         jmp     calculation_loop
  1373.       calculate_xor:
  1374.         mov     eax,[edi]
  1375.         xor     [ebx],eax
  1376.         mov     eax,[edi+4]
  1377.         xor     [ebx+4],eax
  1378.         jmp     calculation_loop
  1379.       shr_negative:
  1380.         not     dword [edi]
  1381.         not     dword [edi+4]
  1382.         add     dword [edi],1
  1383.         adc     dword [edi+4],0
  1384.       calculate_shl:
  1385.         mov     eax,dword [edi+4]
  1386.         bt      eax,31
  1387.         jc      shl_negative
  1388.         or      eax,eax
  1389.         jnz     zero_value
  1390.         mov     ecx,[edi]
  1391.         cmp     ecx,64
  1392.         jae     zero_value
  1393.         cmp     ecx,32
  1394.         jae     shl_high
  1395.         mov     edx,[ebx+4]
  1396.         mov     eax,[ebx]
  1397.         shld    edx,eax,cl
  1398.         shl     eax,cl
  1399.         mov     [ebx],eax
  1400.         mov     [ebx+4],edx
  1401.         jmp     calculation_loop
  1402.       shl_high:
  1403.         sub     cl,32
  1404.         mov     eax,[ebx]
  1405.         shl     eax,cl
  1406.         mov     [ebx+4],eax
  1407.         mov     dword [ebx],0
  1408.         jmp     calculation_loop
  1409.       shl_negative:
  1410.         not     dword [edi]
  1411.         not     dword [edi+4]
  1412.         add     dword [edi],1
  1413.         adc     dword [edi+4],0
  1414.       calculate_shr:
  1415.         mov     eax,dword [edi+4]
  1416.         bt      eax,31
  1417.         jc      shr_negative
  1418.         or      eax,eax
  1419.         jnz     zero_value
  1420.         mov     ecx,[edi]
  1421.         cmp     ecx,64
  1422.         jae     zero_value
  1423.         cmp     ecx,32
  1424.         jae     shr_high
  1425.         mov     edx,[ebx+4]
  1426.         mov     eax,[ebx]
  1427.         shrd    eax,edx,cl
  1428.         shr     edx,cl
  1429.         mov     [ebx],eax
  1430.         mov     [ebx+4],edx
  1431.         jmp     calculation_loop
  1432.       shr_high:
  1433.         sub     cl,32
  1434.         mov     eax,[ebx+4]
  1435.         shr     eax,cl
  1436.         mov     [ebx],eax
  1437.         mov     dword [ebx+4],0
  1438.         jmp     calculation_loop
  1439.       zero_value:
  1440.         mov     dword [ebx],0
  1441.         mov     dword [ebx+4],0
  1442.         jmp     calculation_loop
  1443.       calculate_not:
  1444.         cmp     word [edi+8],0
  1445.         jne     invalid_expression
  1446.         cmp     byte [edi+12],0
  1447.         je      not_ok
  1448.         cmp     [error_line],0
  1449.         jne     not_ok
  1450.         mov     eax,[current_line]
  1451.         mov     [error_line],eax
  1452.         mov     [error],invalid_use_of_symbol
  1453.       not_ok:
  1454.         cmp     [value_size],1
  1455.         je      not_byte
  1456.         cmp     [value_size],2
  1457.         je      not_word
  1458.         cmp     [value_size],4
  1459.         je      not_dword
  1460.         cmp     [value_size],6
  1461.         je      not_pword
  1462.       not_qword:
  1463.         not     dword [edi]
  1464.         not     dword [edi+4]
  1465.         add     edi,14h
  1466.         jmp     calculation_loop
  1467.       not_byte:
  1468.         cmp     dword [edi+4],0
  1469.         jne     not_qword
  1470.         cmp     word [edi+2],0
  1471.         jne     not_qword
  1472.         cmp     byte [edi+1],0
  1473.         jne     not_qword
  1474.         not     byte [edi]
  1475.         add     edi,14h
  1476.         jmp     calculation_loop
  1477.       not_word:
  1478.         cmp     dword [edi+4],0
  1479.         jne     not_qword
  1480.         cmp     word [edi+2],0
  1481.         jne     not_qword
  1482.         not     word [edi]
  1483.         add     edi,14h
  1484.         jmp     calculation_loop
  1485.       not_dword:
  1486.         cmp     dword [edi+4],0
  1487.         jne     not_qword
  1488.         not     dword [edi]
  1489.         add     edi,14h
  1490.         jmp     calculation_loop
  1491.       not_pword:
  1492.         cmp     word [edi+6],0
  1493.         jne     not_qword
  1494.         not     dword [edi]
  1495.         not     word [edi+4]
  1496.         add     edi,14h
  1497.         jmp     calculation_loop
  1498.       calculate_neg:
  1499.         cmp     word [edi+8],0
  1500.         jne     invalid_expression
  1501.         cmp     byte [edi+12],0
  1502.         je      neg_ok
  1503.         cmp     [error_line],0
  1504.         jne     neg_ok
  1505.         mov     eax,[current_line]
  1506.         mov     [error_line],eax
  1507.         mov     [error],invalid_use_of_symbol
  1508.       neg_ok:
  1509.         mov     eax,[edi]
  1510.         mov     edx,[edi+4]
  1511.         mov     dword [edi],0
  1512.         mov     dword [edi+4],0
  1513.         sub     [edi],eax
  1514.         sbb     [edi+4],edx
  1515.         add     edi,14h
  1516.         jmp     calculation_loop
  1517.       calculate_rva:
  1518.         cmp     word [edi+8],0
  1519.         jne     invalid_expression
  1520.         cmp     [output_format],5
  1521.         je      calculate_gotoff
  1522.         cmp     [output_format],4
  1523.         je      calculate_coff_rva
  1524.         cmp     [output_format],3
  1525.         jne     invalid_expression
  1526.         test    [format_flags],8
  1527.         jnz     pe64_rva
  1528.         mov     al,2
  1529.         bt      [resolver_flags],0
  1530.         jc      rva_type_ok
  1531.         xor     al,al
  1532.       rva_type_ok:
  1533.         cmp     byte [edi+12],al
  1534.         je      rva_ok
  1535.         cmp     [error_line],0
  1536.         jne     rva_ok
  1537.         mov     eax,[current_line]
  1538.         mov     [error_line],eax
  1539.         mov     [error],invalid_use_of_symbol
  1540.       rva_ok:
  1541.         mov     byte [edi+12],0
  1542.         mov     eax,[code_start]
  1543.         mov     eax,[eax+34h]
  1544.         cdq
  1545.         sub     [edi],eax
  1546.         sbb     [edi+4],edx
  1547.         add     edi,14h
  1548.         jmp     calculation_loop
  1549.       pe64_rva:
  1550.         mov     al,4
  1551.         bt      [resolver_flags],0
  1552.         jc      pe64_rva_type_ok
  1553.         xor     al,al
  1554.       pe64_rva_type_ok:
  1555.         cmp     byte [edi+12],al
  1556.         je      pe64_rva_ok
  1557.         cmp     [error_line],0
  1558.         jne     pe64_rva_ok
  1559.         mov     eax,[current_line]
  1560.         mov     [error_line],eax
  1561.         mov     [error],invalid_use_of_symbol
  1562.       pe64_rva_ok:
  1563.         mov     byte [edi+12],0
  1564.         mov     eax,[code_start]
  1565.         mov     edx,[eax+34h]
  1566.         mov     eax,[eax+30h]
  1567.         sub     [edi],eax
  1568.         sbb     [edi+4],edx
  1569.         add     edi,14h
  1570.         jmp     calculation_loop
  1571.       calculate_gotoff:
  1572.         test    [format_flags],8+1
  1573.         jnz     invalid_expression
  1574.       calculate_coff_rva:
  1575.         mov     dl,5
  1576.         cmp     byte [edi+12],2
  1577.         je      change_value_type
  1578.       incorrect_change_of_value_type:
  1579.         cmp     [error_line],0
  1580.         jne     change_value_type
  1581.         mov     eax,[current_line]
  1582.         mov     [error_line],eax
  1583.         mov     [error],invalid_use_of_symbol
  1584.       change_value_type:
  1585.         mov     byte [edi+12],dl
  1586.         add     edi,14h
  1587.         jmp     calculation_loop
  1588.       calculate_plt:
  1589.         cmp     word [edi+8],0
  1590.         jne     invalid_expression
  1591.         cmp     [output_format],5
  1592.         jne     invalid_expression
  1593.         test    [format_flags],1
  1594.         jnz     invalid_expression
  1595.         mov     dl,6
  1596.         mov     dh,2
  1597.         test    [format_flags],8
  1598.         jz      check_value_for_plt
  1599.         mov     dh,4
  1600.       check_value_for_plt:
  1601.         mov     eax,[edi]
  1602.         or      eax,[edi+4]
  1603.         jnz     incorrect_change_of_value_type
  1604.         cmp     byte [edi+12],dh
  1605.         jne     incorrect_change_of_value_type
  1606.         mov     eax,[edi+16]
  1607.         cmp     byte [eax],80h
  1608.         jne     incorrect_change_of_value_type
  1609.         jmp     change_value_type
  1610.       div_64:
  1611.         xor     ebx,ebx
  1612.         cmp     dword [edi],0
  1613.         jne     divider_ok
  1614.         cmp     dword [edi+4],0
  1615.         jne     divider_ok
  1616.         cmp     [next_pass_needed],0
  1617.         je      value_out_of_range
  1618.         jmp     div_done
  1619.       divider_ok:
  1620.         bt      dword [esi+4],31
  1621.         jnc     div_first_sign_ok
  1622.         not     dword [esi]
  1623.         not     dword [esi+4]
  1624.         add     dword [esi],1
  1625.         adc     dword [esi+4],0
  1626.         not     bx
  1627.       div_first_sign_ok:
  1628.         bt      dword [edi+4],31
  1629.         jnc     div_second_sign_ok
  1630.         not     dword [edi]
  1631.         not     dword [edi+4]
  1632.         add     dword [edi],1
  1633.         adc     dword [edi+4],0
  1634.         not     bl
  1635.       div_second_sign_ok:
  1636.         cmp     dword [edi+4],0
  1637.         jne     div_high
  1638.         mov     ecx,[edi]
  1639.         mov     eax,[esi+4]
  1640.         xor     edx,edx
  1641.         div     ecx
  1642.         mov     [esi+4],eax
  1643.         mov     eax,[esi]
  1644.         div     ecx
  1645.         mov     [esi],eax
  1646.         mov     eax,edx
  1647.         xor     edx,edx
  1648.         jmp     div_done
  1649.       div_high:
  1650.         mov     eax,[esi+4]
  1651.         xor     edx,edx
  1652.         div     dword [edi+4]
  1653.         mov     ebx,[esi]
  1654.         mov     [esi],eax
  1655.         mov     dword [esi+4],0
  1656.         mov     ecx,edx
  1657.         mul     dword [edi]
  1658.       div_high_loop:
  1659.         cmp     ecx,edx
  1660.         ja      div_high_done
  1661.         jb      div_high_large_correction
  1662.         cmp     ebx,eax
  1663.         jae     div_high_done
  1664.       div_high_correction:
  1665.         dec     dword [esi]
  1666.         sub     eax,[edi]
  1667.         sbb     edx,[edi+4]
  1668.         jnc     div_high_loop
  1669.       div_high_done:
  1670.         sub     ebx,eax
  1671.         sbb     ecx,edx
  1672.         mov     edx,ecx
  1673.         mov     eax,ebx
  1674.         ret
  1675.       div_high_large_correction:
  1676.         push    eax edx
  1677.         mov     eax,edx
  1678.         sub     eax,ecx
  1679.         xor     edx,edx
  1680.         div     dword [edi+4]
  1681.         shr     eax,1
  1682.         jz      div_high_small_correction
  1683.         sub     [esi],eax
  1684.         push    eax
  1685.         mul     dword [edi+4]
  1686.         sub     dword [esp+4],eax
  1687.         pop     eax
  1688.         mul     dword [edi]
  1689.         sub     dword [esp+4],eax
  1690.         sbb     dword [esp],edx
  1691.         pop     edx eax
  1692.         jmp     div_high_loop
  1693.       div_high_small_correction:
  1694.         pop     edx eax
  1695.         jmp     div_high_correction
  1696.       div_done:
  1697.         or      bh,bh
  1698.         jz      remainder_ok
  1699.         not     eax
  1700.         not     edx
  1701.         add     eax,1
  1702.         adc     edx,0
  1703.       remainder_ok:
  1704.         or      bl,bl
  1705.         jz      div_ok
  1706.         not     dword [esi]
  1707.         not     dword [esi+4]
  1708.         add     dword [esi],1
  1709.         adc     dword [esi+4],0
  1710.       div_ok:
  1711.         ret
  1712.       convert_fp:
  1713.         inc     esi
  1714.         mov     word [edi+8],0
  1715.         mov     byte [edi+12],0
  1716.         mov     al,[value_size]
  1717.         cmp     al,4
  1718.         je      convert_fp_dword
  1719.         cmp     al,8
  1720.         je      convert_fp_qword
  1721.         jmp     invalid_value
  1722.       convert_fp_dword:
  1723.         xor     eax,eax
  1724.         cmp     word [esi+8],8000h
  1725.         je      fp_dword_store
  1726.         mov     bx,[esi+8]
  1727.         mov     eax,[esi+4]
  1728.         shl     eax,1
  1729.         shr     eax,9
  1730.         jnc     fp_dword_ok
  1731.         inc     eax
  1732.         bt      eax,23
  1733.         jnc     fp_dword_ok
  1734.         and     eax,1 shl 23 - 1
  1735.         inc     bx
  1736.         shr     eax,1
  1737.       fp_dword_ok:
  1738.         add     bx,7Fh
  1739.         cmp     bx,100h
  1740.         jae     value_out_of_range
  1741.         shl     ebx,23
  1742.         or      eax,ebx
  1743.       fp_dword_store:
  1744.         mov     bl,[esi+11]
  1745.         shl     ebx,31
  1746.         or      eax,ebx
  1747.         mov     [edi],eax
  1748.         xor     eax,eax
  1749.         mov     [edi+4],eax
  1750.         add     esi,13
  1751.         ret
  1752.       convert_fp_qword:
  1753.         xor     eax,eax
  1754.         xor     edx,edx
  1755.         cmp     word [esi+8],8000h
  1756.         je      fp_qword_store
  1757.         mov     bx,[esi+8]
  1758.         mov     eax,[esi]
  1759.         mov     edx,[esi+4]
  1760.         add     eax,eax
  1761.         adc     edx,edx
  1762.         mov     ecx,edx
  1763.         shr     edx,12
  1764.         shrd    eax,ecx,12
  1765.         jnc     fp_qword_ok
  1766.         add     eax,1
  1767.         adc     edx,0
  1768.         bt      edx,20
  1769.         jnc     fp_qword_ok
  1770.         and     edx,1 shl 20 - 1
  1771.         inc     bx
  1772.         shr     edx,1
  1773.         rcr     eax,1
  1774.       fp_qword_ok:
  1775.         add     bx,3FFh
  1776.         cmp     bx,800h
  1777.         jae     value_out_of_range
  1778.         shl     ebx,20
  1779.         or      edx,ebx
  1780.       fp_qword_store:
  1781.         mov     bl,[esi+11]
  1782.         shl     ebx,31
  1783.         or      edx,ebx
  1784.         mov     [edi],eax
  1785.         mov     [edi+4],edx
  1786.         add     esi,13
  1787.         ret
  1788.       get_string_value:
  1789.         inc     esi
  1790.         lods    dword [esi]
  1791.         mov     ecx,eax
  1792.         cmp     ecx,8
  1793.         ja      value_out_of_range
  1794.         mov     edx,edi
  1795.         xor     eax,eax
  1796.         stos    dword [edi]
  1797.         stos    dword [edi]
  1798.         mov     edi,edx
  1799.         rep     movs byte [edi],[esi]
  1800.         mov     edi,edx
  1801.         inc     esi
  1802.         mov     word [edi+8],0
  1803.         mov     byte [edi+12],0
  1804.         ret
  1805.  
  1806. get_byte_value:
  1807.         mov     [value_size],1
  1808.         mov     [size_override],-1
  1809.         call    calculate_expression
  1810.         mov     eax,[edi+16]
  1811.         mov     [symbol_identifier],eax
  1812.         mov     [value_type],0
  1813.         cmp     word [edi+8],0
  1814.         jne     invalid_value
  1815.         cmp     byte [edi+12],0
  1816.         je      check_byte_value
  1817.         cmp     [error_line],0
  1818.         jne     check_byte_value
  1819.         mov     eax,[current_line]
  1820.         mov     [error_line],eax
  1821.         mov     [error],invalid_use_of_symbol
  1822.       check_byte_value:
  1823.         mov     eax,[edi]
  1824.         mov     edx,[edi+4]
  1825.         or      edx,edx
  1826.         jz      byte_positive
  1827.         cmp     edx,-1
  1828.         jne     range_exceeded
  1829.         cmp     eax,-80h
  1830.         jb      range_exceeded
  1831.         ret
  1832.       byte_positive:
  1833.         cmp     eax,100h
  1834.         jae     range_exceeded
  1835.       return_byte_value:
  1836.         ret
  1837.       range_exceeded:
  1838.         xor     eax,eax
  1839.         xor     edx,edx
  1840.         cmp     [error_line],0
  1841.         jne     return_byte_value
  1842.         mov     ecx,[current_line]
  1843.         mov     [error_line],ecx
  1844.         mov     [error],value_out_of_range
  1845.         ret
  1846. get_word_value:
  1847.         mov     [value_size],2
  1848.         mov     [size_override],-1
  1849.         call    calculate_expression
  1850.         cmp     word [edi+8],0
  1851.         jne     invalid_value
  1852.         mov     eax,[edi+16]
  1853.         mov     [symbol_identifier],eax
  1854.         mov     al,[edi+12]
  1855.         mov     [value_type],al
  1856.         cmp     al,2
  1857.         jb      check_word_value
  1858.         cmp     [error_line],0
  1859.         jne     check_word_value
  1860.         mov     eax,[current_line]
  1861.         mov     [error_line],eax
  1862.         mov     [error],invalid_use_of_symbol
  1863.       check_word_value:
  1864.         mov     eax,[edi]
  1865.         mov     edx,[edi+4]
  1866.         or      edx,edx
  1867.         jz      word_positive
  1868.         cmp     edx,-1
  1869.         jne     range_exceeded
  1870.         cmp     eax,-8000h
  1871.         jb      range_exceeded
  1872.         ret
  1873.       word_positive:
  1874.         cmp     eax,10000h
  1875.         jae     range_exceeded
  1876.         ret
  1877. get_dword_value:
  1878.         mov     [value_size],4
  1879.         mov     [size_override],-1
  1880.         call    calculate_expression
  1881.         cmp     word [edi+8],0
  1882.         jne     invalid_value
  1883.         mov     eax,[edi+16]
  1884.         mov     [symbol_identifier],eax
  1885.         mov     al,[edi+12]
  1886.         mov     [value_type],al
  1887.         cmp     al,4
  1888.         jne     check_dword_value
  1889.         mov     [value_type],2
  1890.         mov     eax,[edi]
  1891.         cdq
  1892.         cmp     edx,[edi+4]
  1893.         jne     range_exceeded
  1894.         ret
  1895.       check_dword_value:
  1896.         mov     eax,[edi]
  1897.         mov     edx,[edi+4]
  1898.         or      edx,edx
  1899.         jz      dword_positive
  1900.         cmp     edx,-1
  1901.         jne     range_exceeded
  1902.         bt      eax,31
  1903.         jnc     range_exceeded
  1904.       dword_positive:
  1905.         ret
  1906. get_pword_value:
  1907.         mov     [value_size],6
  1908.         mov     [size_override],-1
  1909.         call    calculate_expression
  1910.         mov     eax,[edi+16]
  1911.         mov     [symbol_identifier],eax
  1912.         cmp     word [edi+8],0
  1913.         jne     invalid_value
  1914.         mov     al,[edi+12]
  1915.         mov     [value_type],al
  1916.         cmp     al,4
  1917.         jne     check_pword_value
  1918.         cmp     [error_line],0
  1919.         jne     check_pword_value
  1920.         mov     eax,[current_line]
  1921.         mov     [error_line],eax
  1922.         mov     [error],invalid_use_of_symbol
  1923.       check_pword_value:
  1924.         mov     eax,[edi]
  1925.         mov     edx,[edi+4]
  1926.         cmp     edx,10000h
  1927.         jge     range_exceeded
  1928.         cmp     edx,-8000h
  1929.         jl      range_exceeded
  1930.         ret
  1931. get_qword_value:
  1932.         mov     [value_size],8
  1933.         mov     [size_override],-1
  1934.         call    calculate_expression
  1935.         cmp     word [edi+8],0
  1936.         jne     invalid_value
  1937.         mov     eax,[edi+16]
  1938.         mov     [symbol_identifier],eax
  1939.         mov     al,[edi+12]
  1940.         mov     [value_type],al
  1941.       check_qword_value:
  1942.         mov     eax,[edi]
  1943.         mov     edx,[edi+4]
  1944.         ret
  1945. get_value:
  1946.         mov     [operand_size],0
  1947.         lods    byte [esi]
  1948.         call    get_size_operator
  1949.         cmp     al,'('
  1950.         jne     invalid_value
  1951.         mov     al,[operand_size]
  1952.         cmp     al,1
  1953.         je      value_byte
  1954.         cmp     al,2
  1955.         je      value_word
  1956.         cmp     al,4
  1957.         je      value_dword
  1958.         cmp     al,6
  1959.         je      value_pword
  1960.         cmp     al,8
  1961.         je      value_qword
  1962.         or      al,al
  1963.         jnz     invalid_value
  1964.       value_qword:
  1965.         call    get_qword_value
  1966.         ret
  1967.       value_pword:
  1968.         call    get_pword_value
  1969.         movzx   edx,dx
  1970.         ret
  1971.       value_dword:
  1972.         call    get_dword_value
  1973.         xor     edx,edx
  1974.         ret
  1975.       value_word:
  1976.         call    get_word_value
  1977.         xor     edx,edx
  1978.         movzx   eax,ax
  1979.         ret
  1980.       value_byte:
  1981.         call    get_byte_value
  1982.         xor     edx,edx
  1983.         movzx   eax,al
  1984.         ret
  1985. get_address_word_value:
  1986.         mov     [address_size],2
  1987.         mov     [value_size],2
  1988.         jmp     calculate_address
  1989. get_address_dword_value:
  1990.         mov     [address_size],4
  1991.         mov     [value_size],4
  1992.         jmp     calculate_address
  1993. get_address_qword_value:
  1994.         mov     [address_size],8
  1995.         mov     [value_size],8
  1996.         jmp     calculate_address
  1997. get_address_value:
  1998.         mov     [address_size],0
  1999.         mov     [value_size],8
  2000.       calculate_address:
  2001.         cmp     byte [esi],'.'
  2002.         je      invalid_address
  2003.         call    calculate_expression
  2004.         mov     eax,[edi+16]
  2005.         mov     [address_symbol],eax
  2006.         mov     al,[edi+12]
  2007.         mov     [value_type],al
  2008.         cmp     al,6
  2009.         je      special_address_type_32bit
  2010.         cmp     al,5
  2011.         je      special_address_type_32bit
  2012.         ja      invalid_use_of_symbol
  2013.         test    al,1
  2014.         jnz     invalid_use_of_symbol
  2015.         or      al,al
  2016.         jz      address_size_ok
  2017.         shl     al,5
  2018.         jmp     address_symbol_ok
  2019.       special_address_type_32bit:
  2020.         mov     al,40h
  2021.       address_symbol_ok:
  2022.         mov     ah,[address_size]
  2023.         or      [address_size],al
  2024.         shr     al,4
  2025.         or      ah,ah
  2026.         jz      address_size_ok
  2027.         cmp     al,ah
  2028.         je      address_size_ok
  2029.         cmp     ax,0804h
  2030.         jne     address_sizes_do_not_agree
  2031.         cmp     [value_type],2
  2032.         ja      value_type_correction_ok
  2033.         mov     [value_type],2
  2034.       value_type_correction_ok:
  2035.         mov     eax,[edi]
  2036.         cdq
  2037.         cmp     edx,[edi+4]
  2038.         je      address_size_ok
  2039.         cmp     [error_line],0
  2040.         jne     address_size_ok
  2041.         mov     ecx,[current_line]
  2042.         mov     [error_line],ecx
  2043.         mov     [error],value_out_of_range
  2044.       address_size_ok:
  2045.         xor     ebx,ebx
  2046.         xor     ecx,ecx
  2047.         mov     cl,[value_type]
  2048.         shl     ecx,16
  2049.         mov     ch,[address_size]
  2050.         cmp     word [edi+8],0
  2051.         je      check_immediate_address
  2052.         mov     al,[edi+8]
  2053.         mov     dl,[edi+10]
  2054.         call    get_address_register
  2055.         mov     al,[edi+9]
  2056.         mov     dl,[edi+11]
  2057.         call    get_address_register
  2058.         mov     ax,bx
  2059.         shr     ah,4
  2060.         shr     al,4
  2061.         or      bh,bh
  2062.         jz      check_address_registers
  2063.         or      bl,bl
  2064.         jz      check_address_registers
  2065.         cmp     al,ah
  2066.         jne     invalid_address
  2067.       check_address_registers:
  2068.         or      al,ah
  2069.         mov     ah,[address_size]
  2070.         and     ah,0Fh
  2071.         jz      address_registers_sizes_ok
  2072.         cmp     al,ah
  2073.         jne     address_sizes_do_not_match
  2074.       address_registers_sizes_ok:
  2075.         cmp     al,4
  2076.         je      sib_allowed
  2077.         cmp     al,8
  2078.         je      sib_allowed
  2079.         cmp     al,0Fh
  2080.         je      check_ip_relative_address
  2081.         or      cl,cl
  2082.         jz      check_word_value
  2083.         cmp     cl,1
  2084.         je      check_word_value
  2085.         jmp     invalid_address
  2086.       address_sizes_do_not_match:
  2087.         cmp     al,0Fh
  2088.         jne     invalid_address
  2089.         mov     al,bh
  2090.         and     al,0Fh
  2091.         cmp     al,ah
  2092.         jne     invalid_address
  2093.       check_ip_relative_address:
  2094.         cmp     bh,0F4h
  2095.         je      check_dword_value
  2096.         cmp     bh,0F8h
  2097.         jne     invalid_address
  2098.         mov     eax,[edi]
  2099.         cdq
  2100.         cmp     edx,[edi+4]
  2101.         jne     range_exceeded
  2102.         ret
  2103.       get_address_register:
  2104.         or      al,al
  2105.         jz      address_register_ok
  2106.         cmp     dl,1
  2107.         jne     scaled_register
  2108.         or      bh,bh
  2109.         jnz     scaled_register
  2110.         mov     bh,al
  2111.       address_register_ok:
  2112.         ret
  2113.       scaled_register:
  2114.         or      bl,bl
  2115.         jnz     invalid_address
  2116.         mov     bl,al
  2117.         mov     cl,dl
  2118.         jmp     address_register_ok
  2119.       sib_allowed:
  2120.         or      bh,bh
  2121.         jnz     check_index_scale
  2122.         cmp     cl,2
  2123.         je      special_index_scale
  2124.         cmp     cl,3
  2125.         je      special_index_scale
  2126.         cmp     cl,5
  2127.         je      special_index_scale
  2128.         cmp     cl,9
  2129.         je      special_index_scale
  2130.       check_index_scale:
  2131.         or      cl,cl
  2132.         jz      check_immediate_address
  2133.         cmp     cl,1
  2134.         je      check_immediate_address
  2135.         cmp     cl,2
  2136.         je      check_immediate_address
  2137.         cmp     cl,4
  2138.         je      check_immediate_address
  2139.         cmp     cl,8
  2140.         je      check_immediate_address
  2141.         jmp     invalid_address
  2142.       special_index_scale:
  2143.         mov     bh,bl
  2144.         dec     cl
  2145.       check_immediate_address:
  2146.         mov     al,[address_size]
  2147.         and     al,0Fh
  2148.         cmp     al,2
  2149.         je      check_word_value
  2150.         cmp     al,4
  2151.         je      check_dword_value
  2152.         cmp     al,8
  2153.         je      check_qword_value
  2154.         or      al,al
  2155.         jnz     invalid_value
  2156.         cmp     [code_type],64
  2157.         jne     check_dword_value
  2158.         jmp     check_qword_value
  2159. calculate_relative_offset:
  2160.         cmp     [value_undefined],0
  2161.         jne     relative_offset_ok
  2162.         test    bh,bh
  2163.         setne   ch
  2164.         cmp     bx,word [org_registers]
  2165.         je      origin_registers_ok
  2166.         xchg    bh,bl
  2167.         xchg    ch,cl
  2168.         cmp     bx,word [org_registers]
  2169.         jne     invalid_value
  2170.       origin_registers_ok:
  2171.         cmp     cx,word [org_registers+2]
  2172.         jne     invalid_value
  2173.         add     eax,dword [org_origin]
  2174.         adc     edx,dword [org_origin+4]
  2175.         sub     eax,edi
  2176.         sbb     edx,0
  2177.         mov     bl,[value_type]
  2178.         or      bl,bl
  2179.         je      relative_offset_ok
  2180.         test    bl,1
  2181.         jnz     invalid_use_of_symbol
  2182.         mov     ecx,[address_symbol]
  2183.         mov     [symbol_identifier],ecx
  2184.         cmp     bl,6
  2185.         je      plt_relative_offset
  2186.         cmp     bl,[labels_type]
  2187.         jne     invalid_use_of_symbol
  2188.         mov     [value_type],0
  2189.         cmp     ecx,[org_symbol]
  2190.         je      relative_offset_ok
  2191.         mov     [value_type],3
  2192.       relative_offset_ok:
  2193.         ret
  2194.       plt_relative_offset:
  2195.         mov     [value_type],7
  2196.         ret
  2197.  
  2198. preevaluate_logical_expression:
  2199.         xor     al,al
  2200.   preevaluate_embedded_logical_expression:
  2201.         mov     [logical_value_wrapping],al
  2202.         push    edi
  2203.         call    preevaluate_logical_value
  2204.       preevaluation_loop:
  2205.         cmp     al,0FFh
  2206.         je      invalid_logical_expression
  2207.         mov     dl,[esi]
  2208.         inc     esi
  2209.         cmp     dl,'|'
  2210.         je      preevaluate_or
  2211.         cmp     dl,'&'
  2212.         je      preevaluate_and
  2213.         cmp     dl,'}'
  2214.         je      preevaluation_done
  2215.         or      dl,dl
  2216.         jnz     invalid_logical_expression
  2217.       preevaluation_done:
  2218.         pop     edx
  2219.         dec     esi
  2220.         ret
  2221.       preevaluate_or:
  2222.         cmp     al,'1'
  2223.         je      quick_true
  2224.         cmp     al,'0'
  2225.         je      leave_only_following
  2226.         push    edi
  2227.         mov     al,dl
  2228.         stos    byte [edi]
  2229.         call    preevaluate_logical_value
  2230.         pop     ebx
  2231.         cmp     al,'0'
  2232.         je      leave_only_preceding
  2233.         cmp     al,'1'
  2234.         jne     preevaluation_loop
  2235.         stos    byte [edi]
  2236.         xor     al,al
  2237.         jmp     preevaluation_loop
  2238.       preevaluate_and:
  2239.         cmp     al,'0'
  2240.         je      quick_false
  2241.         cmp     al,'1'
  2242.         je      leave_only_following
  2243.         push    edi
  2244.         mov     al,dl
  2245.         stos    byte [edi]
  2246.         call    preevaluate_logical_value
  2247.         pop     ebx
  2248.         cmp     al,'1'
  2249.         je      leave_only_preceding
  2250.         cmp     al,'0'
  2251.         jne     preevaluation_loop
  2252.         stos    byte [edi]
  2253.         xor     al,al
  2254.         jmp     preevaluation_loop
  2255.       leave_only_following:
  2256.         mov     edi,[esp]
  2257.         call    preevaluate_logical_value
  2258.         jmp     preevaluation_loop
  2259.       leave_only_preceding:
  2260.         mov     edi,ebx
  2261.         xor     al,al
  2262.         jmp     preevaluation_loop
  2263.       quick_true:
  2264.         call    skip_logical_value
  2265.         jc      invalid_logical_expression
  2266.         mov     edi,[esp]
  2267.         mov     al,'1'
  2268.         jmp     preevaluation_loop
  2269.       quick_false:
  2270.         call    skip_logical_value
  2271.         jc      invalid_logical_expression
  2272.         mov     edi,[esp]
  2273.         mov     al,'0'
  2274.         jmp     preevaluation_loop
  2275.       invalid_logical_expression:
  2276.         pop     edi
  2277.         mov     esi,edi
  2278.         mov     al,0FFh
  2279.         stos    byte [edi]
  2280.         ret
  2281.   skip_logical_value:
  2282.         cmp     byte [esi],'~'
  2283.         jne     negation_skipped
  2284.         inc     esi
  2285.         jmp     skip_logical_value
  2286.       negation_skipped:
  2287.         mov     al,[esi]
  2288.         cmp     al,'{'
  2289.         jne     skip_simple_logical_value
  2290.         inc     esi
  2291.         xchg    al,[logical_value_wrapping]
  2292.         push    eax
  2293.       skip_logical_expression:
  2294.         call    skip_logical_value
  2295.         lods    byte [esi]
  2296.         or      al,al
  2297.         jz      wrongly_structured_logical_expression
  2298.         cmp     al,0Fh
  2299.         je      wrongly_structured_logical_expression
  2300.         cmp     al,'|'
  2301.         je      skip_logical_expression
  2302.         cmp     al,'&'
  2303.         je      skip_logical_expression
  2304.         cmp     al,'}'
  2305.         jne     wrongly_structured_logical_expression
  2306.         pop     eax
  2307.         mov     [logical_value_wrapping],al
  2308.       logical_value_skipped:
  2309.         clc
  2310.         ret
  2311.       wrongly_structured_logical_expression:
  2312.         pop     eax
  2313.         stc
  2314.         ret
  2315.       skip_simple_logical_value:
  2316.         mov     [logical_value_parentheses],0
  2317.       find_simple_logical_value_end:
  2318.         mov     al,[esi]
  2319.         or      al,al
  2320.         jz      logical_value_skipped
  2321.         cmp     al,0Fh
  2322.         je      logical_value_skipped
  2323.         cmp     al,'|'
  2324.         je      logical_value_skipped
  2325.         cmp     al,'&'
  2326.         je      logical_value_skipped
  2327.         cmp     al,'{'
  2328.         je      skip_logical_value_internal_parenthesis
  2329.         cmp     al,'}'
  2330.         jne     skip_logical_value_symbol
  2331.         sub     [logical_value_parentheses],1
  2332.         jnc     skip_logical_value_symbol
  2333.         cmp     [logical_value_wrapping],'{'
  2334.         jne     skip_logical_value_symbol
  2335.         jmp     logical_value_skipped
  2336.       skip_logical_value_internal_parenthesis:
  2337.         inc     [logical_value_parentheses]
  2338.       skip_logical_value_symbol:
  2339.         call    skip_symbol
  2340.         jmp     find_simple_logical_value_end
  2341.   preevaluate_logical_value:
  2342.         mov     ebp,edi
  2343.       preevaluate_negation:
  2344.         cmp     byte [esi],'~'
  2345.         jne     preevaluate_negation_ok
  2346.         movs    byte [edi],[esi]
  2347.         jmp     preevaluate_negation
  2348.       preevaluate_negation_ok:
  2349.         mov     ebx,esi
  2350.         cmp     byte [esi],'{'
  2351.         jne     preevaluate_simple_logical_value
  2352.         lods    byte [esi]
  2353.         stos    byte [edi]
  2354.         push    ebp
  2355.         mov     dl,[logical_value_wrapping]
  2356.         push    edx
  2357.         call    preevaluate_embedded_logical_expression
  2358.         pop     edx
  2359.         mov     [logical_value_wrapping],dl
  2360.         pop     ebp
  2361.         cmp     al,0FFh
  2362.         je      invalid_logical_value
  2363.         cmp     byte [esi],'}'
  2364.         jne     invalid_logical_value
  2365.         or      al,al
  2366.         jnz     preevaluated_expression_value
  2367.         movs    byte [edi],[esi]
  2368.         ret
  2369.       preevaluated_expression_value:
  2370.         inc     esi
  2371.         lea     edx,[edi-1]
  2372.         sub     edx,ebp
  2373.         test    edx,1
  2374.         jz      expression_negation_ok
  2375.         xor     al,1
  2376.       expression_negation_ok:
  2377.         mov     edi,ebp
  2378.         ret
  2379.       invalid_logical_value:
  2380.         mov     edi,ebp
  2381.         mov     al,0FFh
  2382.         ret
  2383.       preevaluate_simple_logical_value:
  2384.         xor     edx,edx
  2385.         mov     [logical_value_parentheses],edx
  2386.       find_logical_value_boundaries:
  2387.         mov     al,[esi]
  2388.         or      al,al
  2389.         jz      logical_value_boundaries_found
  2390.         cmp     al,'{'
  2391.         je      logical_value_internal_parentheses
  2392.         cmp     al,'}'
  2393.         je      logical_value_boundaries_parenthesis_close
  2394.         cmp     al,'|'
  2395.         je      logical_value_boundaries_found
  2396.         cmp     al,'&'
  2397.         je      logical_value_boundaries_found
  2398.         or      edx,edx
  2399.         jnz     next_symbol_in_logical_value
  2400.         cmp     al,0F0h
  2401.         je      preevaluable_logical_operator
  2402.         cmp     al,0F7h
  2403.         je      preevaluable_logical_operator
  2404.         cmp     al,0F6h
  2405.         jne     next_symbol_in_logical_value
  2406.       preevaluable_logical_operator:
  2407.         mov     edx,esi
  2408.       next_symbol_in_logical_value:
  2409.         call    skip_symbol
  2410.         jmp     find_logical_value_boundaries
  2411.       logical_value_internal_parentheses:
  2412.         inc     [logical_value_parentheses]
  2413.         jmp     next_symbol_in_logical_value
  2414.       logical_value_boundaries_parenthesis_close:
  2415.         sub     [logical_value_parentheses],1
  2416.         jnc     next_symbol_in_logical_value
  2417.         cmp     [logical_value_wrapping],'{'
  2418.         jne     next_symbol_in_logical_value
  2419.       logical_value_boundaries_found:
  2420.         or      edx,edx
  2421.         jz      non_preevaluable_logical_value
  2422.         mov     al,[edx]
  2423.         cmp     al,0F0h
  2424.         je      compare_symbols
  2425.         cmp     al,0F7h
  2426.         je      compare_symbol_types
  2427.         cmp     al,0F6h
  2428.         je      scan_symbols_list
  2429.       non_preevaluable_logical_value:
  2430.         mov     ecx,esi
  2431.         mov     esi,ebx
  2432.         sub     ecx,esi
  2433.         jz      invalid_logical_value
  2434.         cmp     esi,edi
  2435.         je      leave_logical_value_intact
  2436.         rep     movs byte [edi],[esi]
  2437.         xor     al,al
  2438.         ret
  2439.       leave_logical_value_intact:
  2440.         add     edi,ecx
  2441.         add     esi,ecx
  2442.         xor     al,al
  2443.         ret
  2444.       compare_symbols:
  2445.         lea     ecx,[esi-1]
  2446.         sub     ecx,edx
  2447.         mov     eax,edx
  2448.         sub     eax,ebx
  2449.         cmp     ecx,eax
  2450.         jne     preevaluated_false
  2451.         push    esi edi
  2452.         mov     esi,ebx
  2453.         lea     edi,[edx+1]
  2454.         repe    cmps byte [esi],[edi]
  2455.         pop     edi esi
  2456.         je      preevaluated_true
  2457.       preevaluated_false:
  2458.         mov     eax,edi
  2459.         sub     eax,ebp
  2460.         test    eax,1
  2461.         jnz     store_true
  2462.       store_false:
  2463.         mov     edi,ebp
  2464.         mov     al,'0'
  2465.         ret
  2466.       preevaluated_true:
  2467.         mov     eax,edi
  2468.         sub     eax,ebp
  2469.         test    eax,1
  2470.         jnz     store_false
  2471.       store_true:
  2472.         mov     edi,ebp
  2473.         mov     al,'1'
  2474.         ret
  2475.       compare_symbol_types:
  2476.         push    esi
  2477.         lea     esi,[edx+1]
  2478.       type_comparison:
  2479.         cmp     esi,[esp]
  2480.         je      types_compared
  2481.         mov     al,[esi]
  2482.         cmp     al,[ebx]
  2483.         jne     different_type
  2484.         cmp     al,'('
  2485.         jne     equal_type
  2486.         mov     al,[esi+1]
  2487.         mov     ah,[ebx+1]
  2488.         cmp     al,ah
  2489.         je      equal_type
  2490.         or      al,al
  2491.         jz      different_type
  2492.         or      ah,ah
  2493.         jz      different_type
  2494.         cmp     al,'.'
  2495.         je      different_type
  2496.         cmp     ah,'.'
  2497.         je      different_type
  2498.       equal_type:
  2499.         call    skip_symbol
  2500.         xchg    esi,ebx
  2501.         call    skip_symbol
  2502.         xchg    esi,ebx
  2503.         jmp     type_comparison
  2504.       types_compared:
  2505.         pop     esi
  2506.         cmp     byte [ebx],0F7h
  2507.         jne     preevaluated_false
  2508.         jmp     preevaluated_true
  2509.       different_type:
  2510.         pop     esi
  2511.         jmp     preevaluated_false
  2512.       scan_symbols_list:
  2513.         push    edi esi
  2514.         lea     esi,[edx+1]
  2515.         sub     edx,ebx
  2516.         lods    byte [esi]
  2517.         cmp     al,'<'
  2518.         jne     invalid_symbols_list
  2519.       get_next_from_list:
  2520.         mov     edi,esi
  2521.       get_from_list:
  2522.         cmp     byte [esi],','
  2523.         je      compare_in_list
  2524.         cmp     byte [esi],'>'
  2525.         je      compare_in_list
  2526.         cmp     esi,[esp]
  2527.         jae     invalid_symbols_list
  2528.         call    skip_symbol
  2529.         jmp     get_from_list
  2530.       compare_in_list:
  2531.         mov     ecx,esi
  2532.         sub     ecx,edi
  2533.         cmp     ecx,edx
  2534.         jne     not_equal_length_in_list
  2535.         mov     esi,ebx
  2536.         repe    cmps byte [esi],[edi]
  2537.         mov     esi,edi
  2538.         jne     not_equal_in_list
  2539.       skip_rest_of_list:
  2540.         cmp     byte [esi],'>'
  2541.         je      check_list_end
  2542.         cmp     esi,[esp]
  2543.         jae     invalid_symbols_list
  2544.         call    skip_symbol
  2545.         jmp     skip_rest_of_list
  2546.       check_list_end:
  2547.         inc     esi
  2548.         cmp     esi,[esp]
  2549.         jne     invalid_symbols_list
  2550.         pop     esi edi
  2551.         jmp     preevaluated_true
  2552.       not_equal_in_list:
  2553.         add     esi,ecx
  2554.       not_equal_length_in_list:
  2555.         lods    byte [esi]
  2556.         cmp     al,','
  2557.         je      get_next_from_list
  2558.         cmp     esi,[esp]
  2559.         jne     invalid_symbols_list
  2560.         pop     esi edi
  2561.         jmp     preevaluated_false
  2562.       invalid_symbols_list:
  2563.         pop     esi edi
  2564.         jmp     invalid_logical_value
  2565.  
  2566. calculate_logical_expression:
  2567.         xor     al,al
  2568.   calculate_embedded_logical_expression:
  2569.         mov     [logical_value_wrapping],al
  2570.         call    get_logical_value
  2571.       logical_loop:
  2572.         cmp     byte [esi],'|'
  2573.         je      logical_or
  2574.         cmp     byte [esi],'&'
  2575.         je      logical_and
  2576.         ret
  2577.       logical_or:
  2578.         inc     esi
  2579.         or      al,al
  2580.         jnz     logical_value_already_determined
  2581.         push    eax
  2582.         call    get_logical_value
  2583.         pop     ebx
  2584.         or      al,bl
  2585.         jmp     logical_loop
  2586.       logical_and:
  2587.         inc     esi
  2588.         or      al,al
  2589.         jz      logical_value_already_determined
  2590.         push    eax
  2591.         call    get_logical_value
  2592.         pop     ebx
  2593.         and     al,bl
  2594.         jmp     logical_loop
  2595.       logical_value_already_determined:
  2596.         push    eax
  2597.         call    skip_logical_value
  2598.         jc      invalid_expression
  2599.         pop     eax
  2600.         jmp     logical_loop
  2601.   get_logical_value:
  2602.         xor     al,al
  2603.       check_for_negation:
  2604.         cmp     byte [esi],'~'
  2605.         jne     negation_ok
  2606.         inc     esi
  2607.         xor     al,-1
  2608.         jmp     check_for_negation
  2609.       negation_ok:
  2610.         push    eax
  2611.         mov     al,[esi]
  2612.         cmp     al,'{'
  2613.         je      logical_expression
  2614.         cmp     al,0FFh
  2615.         je      invalid_expression
  2616.         cmp     al,88h
  2617.         je      check_for_defined
  2618.         cmp     al,89h
  2619.         je      check_for_used
  2620.         cmp     al,'0'
  2621.         je      given_false
  2622.         cmp     al,'1'
  2623.         je      given_true
  2624.         call    get_value
  2625.         mov     bl,[value_type]
  2626.         push    eax edx ebx
  2627.         mov     al,[esi]
  2628.         or      al,al
  2629.         jz      logical_number
  2630.         cmp     al,0Fh
  2631.         je      logical_number
  2632.         cmp     al,'}'
  2633.         je      logical_number
  2634.         cmp     al,'&'
  2635.         je      logical_number
  2636.         cmp     al,'|'
  2637.         je      logical_number
  2638.         inc     esi
  2639.         mov     [compare_type],al
  2640.         call    get_value
  2641.         pop     ebx
  2642.         cmp     [next_pass_needed],0
  2643.         jne     values_ok
  2644.         cmp     bl,[value_type]
  2645.         jne     invalid_use_of_symbol
  2646.       values_ok:
  2647.         pop     ecx ebx
  2648.         cmp     [compare_type],'='
  2649.         je      check_equal
  2650.         cmp     [compare_type],'>'
  2651.         je      check_greater
  2652.         cmp     [compare_type],'<'
  2653.         je      check_less
  2654.         cmp     [compare_type],0F1h
  2655.         je      check_not_equal
  2656.         cmp     [compare_type],0F2h
  2657.         je      check_not_less
  2658.         cmp     [compare_type],0F3h
  2659.         je      check_not_greater
  2660.         jmp     invalid_expression
  2661.       check_equal:
  2662.         cmp     eax,ebx
  2663.         jne     return_false
  2664.         cmp     edx,ecx
  2665.         jne     return_false
  2666.         jmp     return_true
  2667.       check_greater:
  2668.         cmp     edx,ecx
  2669.         jl      return_true
  2670.         jg      return_false
  2671.         cmp     eax,ebx
  2672.         jb      return_true
  2673.         jae     return_false
  2674.       check_less:
  2675.         cmp     edx,ecx
  2676.         jl      return_false
  2677.         jg      return_true
  2678.         cmp     eax,ebx
  2679.         jbe     return_false
  2680.         ja      return_true
  2681.       check_not_less:
  2682.         cmp     edx,ecx
  2683.         jl      return_true
  2684.         jg      return_false
  2685.         cmp     eax,ebx
  2686.         jbe     return_true
  2687.         ja      return_false
  2688.       check_not_greater:
  2689.         cmp     edx,ecx
  2690.         jl      return_false
  2691.         jg      return_true
  2692.         cmp     eax,ebx
  2693.         jb      return_false
  2694.         jae     return_true
  2695.       check_not_equal:
  2696.         cmp     eax,ebx
  2697.         jne     return_true
  2698.         cmp     edx,ecx
  2699.         jne     return_true
  2700.         jmp     return_false
  2701.       logical_number:
  2702.         pop     ebx edx eax
  2703.         or      bl,bl
  2704.         jnz     invalid_expression
  2705.         or      eax,edx
  2706.         jnz     return_true
  2707.         jmp     return_false
  2708.       check_for_defined:
  2709.         or      bl,-1
  2710.         lods    word [esi]
  2711.         cmp     ah,'('
  2712.         jne     invalid_expression
  2713.       check_expression:
  2714.         lods    byte [esi]
  2715.         or      al,al
  2716.         jz      defined_string
  2717.         cmp     al,'.'
  2718.         je      defined_fp_value
  2719.         cmp     al,')'
  2720.         je      expression_checked
  2721.         cmp     al,'!'
  2722.         je      invalid_expression
  2723.         cmp     al,0Fh
  2724.         je      check_expression
  2725.         cmp     al,10h
  2726.         je      defined_register
  2727.         cmp     al,11h
  2728.         je      check_if_symbol_defined
  2729.         cmp     al,80h
  2730.         jae     check_expression
  2731.         movzx   eax,al
  2732.         add     esi,eax
  2733.         jmp     check_expression
  2734.       defined_register:
  2735.         inc     esi
  2736.         jmp     check_expression
  2737.       defined_fp_value:
  2738.         add     esi,12
  2739.         jmp     expression_checked
  2740.       defined_string:
  2741.         lods    dword [esi]
  2742.         add     esi,eax
  2743.         inc     esi
  2744.         jmp     expression_checked
  2745.       check_if_symbol_defined:
  2746.         lods    dword [esi]
  2747.         cmp     eax,-1
  2748.         je      invalid_expression
  2749.         cmp     eax,0Fh
  2750.         jb      check_expression
  2751.         je      reserved_word_used_as_symbol
  2752.         test    byte [eax+8],4
  2753.         jnz     no_prediction
  2754.         test    byte [eax+8],1
  2755.         jz      symbol_predicted_undefined
  2756.         mov     cx,[current_pass]
  2757.         sub     cx,[eax+16]
  2758.         jz      check_expression
  2759.         cmp     cx,1
  2760.         ja      symbol_predicted_undefined
  2761.         or      byte [eax+8],40h+80h
  2762.         jmp     check_expression
  2763.       no_prediction:
  2764.         test    byte [eax+8],1
  2765.         jz      symbol_undefined
  2766.         mov     cx,[current_pass]
  2767.         sub     cx,[eax+16]
  2768.         jz      check_expression
  2769.         jmp     symbol_undefined
  2770.       symbol_predicted_undefined:
  2771.         or      byte [eax+8],40h
  2772.         and     byte [eax+8],not 80h
  2773.       symbol_undefined:
  2774.         xor     bl,bl
  2775.         jmp     check_expression
  2776.       expression_checked:
  2777.         mov     al,bl
  2778.         jmp     logical_value_ok
  2779.       check_for_used:
  2780.         lods    word [esi]
  2781.         cmp     ah,2
  2782.         jne     invalid_expression
  2783.         lods    dword [esi]
  2784.         cmp     eax,0Fh
  2785.         jb      invalid_use_of_symbol
  2786.         je      reserved_word_used_as_symbol
  2787.         inc     esi
  2788.         test    byte [eax+8],8
  2789.         jz      not_used
  2790.         mov     cx,[current_pass]
  2791.         sub     cx,[eax+18]
  2792.         jz      return_true
  2793.         cmp     cx,1
  2794.         ja      not_used
  2795.         or      byte [eax+8],10h+20h
  2796.         jmp     return_true
  2797.       not_used:
  2798.         or      byte [eax+8],10h
  2799.         and     byte [eax+8],not 20h
  2800.         jmp     return_false
  2801.       given_false:
  2802.         inc     esi
  2803.       return_false:
  2804.         xor     al,al
  2805.         jmp     logical_value_ok
  2806.       given_true:
  2807.         inc     esi
  2808.       return_true:
  2809.         or      al,-1
  2810.         jmp     logical_value_ok
  2811.       logical_expression:
  2812.         lods    byte [esi]
  2813.         mov     dl,[logical_value_wrapping]
  2814.         push    edx
  2815.         call    calculate_embedded_logical_expression
  2816.         pop     edx
  2817.         mov     [logical_value_wrapping],dl
  2818.         push    eax
  2819.         lods    byte [esi]
  2820.         cmp     al,'}'
  2821.         jne     invalid_expression
  2822.         pop     eax
  2823.       logical_value_ok:
  2824.         pop     ebx
  2825.         xor     al,bl
  2826.         ret
  2827.