Subversion Repositories Kolibri OS

Compare Revisions

Regard whitespace Rev 474 → Rev 475

/programs/system/pcidev/trunk/PCIDEV.ASM
1,11 → 1,12
;***************************************************************
; project name: PCI Device Enumeration
; target platform: KolibriOS and MenuetOS
; target platform: KolibriOS
; compiler: flat assmebler 1.66
; version: 2.0
; last update: 30(th) August 2006
; maintained by: Jason Delozier and Sergey Kuzmin
; e-mail: cordata51@hotmail.com and kuzmin_serg@list.ru
; version: 2.1
; last update: April 2007
; maintained by: Jason Delozier (cordata51@hotmail.com)
; Sergey Kuzmin (kuzmin_serg@list.ru)
; Mihailov Ilia (ghost.nsk@gmail.com)
; project site: http://www.coolthemes.narod.ru/pcidev.html
;***************************************************************
;Summary: This program will attempt to scan the PCI Bus
17,15 → 18,29
; '!' means big changes
;
;to-do:
; PCI version should be normalized 0210 -> 02.10 (it is BCD number)
; more vendors
; vendor's website
; more vendors
; device IRQ
; Subsystem id and Subsystem vendor id detection
 
; Full device detection (like "ATI Radeon 9200") will increase app
; size a lot and probably it is function of particular drivers
;----------------------------------------------------------------
;2.1: PCIDEV ??/04/2007
;Author: Mihailov Ilia aka Ghost <ghost.nsk@gmail.com>
;Features:
; fixed
; * Same little bugs
; * Source code formating
; * PCI version normalized 0210 -> 2.10
; added
; * + 13 vendor (total now 1000!)
; * + 3 class type
; * Fast call source ready
; * Device IRQ detection
; optimized
; * ! size optimized (61025 -> 32501 see added)
; * easy to add new vendor & class names
;----------------------------------------------------------------
;2.0: PCIDEV 30/08/2006
;(it differs a lot from the version 1.0, which was introduced 19 months ago)
;Author: Marat Zakiyanov aka Mario79 <mario79@bk.ru>
121,120 → 136,47
; * detect Revision, Class and Subclass of Device,
; * and make Description based on Class
;-------------------------------------------------------------
use32
 
org 0x0
 
db 'MENUET01'; 8 byte id
dd 0x01 ; header version
dd START ; start of code
dd I_END ; size of image
dd 0xFFFF ; memory for app = 64 KB
dd I_END ; esp
dd 0x0 , 0x0 ; I_Param , I_Icon
 
 
include 'macros.inc'
include 'VENDORS.INC'
 
START: ; start of execution
MEOS_APP_START
CODE
call draw_window
 
still:
mov eax,10 ; wait here for event
int 0x40
 
cmp eax,1 ; redraw request ?
je red
cmp eax,2 ; key in buffer ?
je key
cmp eax,3 ; button in buffer ?
je button
 
still: mcall 10 ; wait here for event
dec eax ; redraw request ?
jz red
dec eax ; key in buffer ?
jz key
dec eax ; button in buffer ?
jz button
jmp still
 
red: ; redraw
mov eax, 9 ;window redraw requested so get new window coordinates and size
mov ebx, Proc_Info ;area to store process information
mov ecx, -1 ;
int 0x40 ;get the process information
mov eax,[Proc_Info+34] ;store the window coordinates into the Form Structure
mcall 9, Proc_Info, -1 ; window redraw requested so get new window coordinates and size
mov eax, [Proc_Info.x_start]; store the window coordinates into the Form Structure
mov [Form+2], ax ;x start position
mov eax,[Proc_Info+38] ;
mov eax, [Proc_Info.y_start];
mov [Form+6],ax ;ystart position
mov eax,[Proc_Info+42] ;
mov eax, [Proc_Info.x_size] ;
mov [Form],ax ;window width
mov eax,[Proc_Info+46] ;
mov eax, [Proc_Info.y_size] ;
mov [Form+4] ,ax ;window height
call draw_window ;go redraw window now
jmp still
 
key: ; key
mov eax,2 ; just read it and ignore
int 0x40
mcall 2 ; just read it and ignore
jmp still
button: ; button
mov eax,17 ; get id
int 0x40
 
mcall 17 ; get id
cmp ah,1 ; button id=1 ?
jne noclose
jne still
mcall -1 ; close this program
 
mov eax,-1 ; close this program
int 0x40
noclose:
jmp still
 
 
 
 
; *********************************************
; ******* WINDOW DEFINITIONS AND DRAW ********
; *********************************************
Form:
dw 780 ;window width
dw 100 ;window x start
dw 420 ;window height
dw 100 ;window y start
 
 
 
draw_window:
 
mov byte [total],0
 
mov eax,12 ; function 12:tell os about windowdraw
mov ebx,1 ; 1, start of draw
int 0x40
mcall 12, 1 ; start of draw
; DRAW WINDOW
mov eax,0 ; function 0 : define and draw window
mov ebx, dword [Form] ; x/width of window
mov ecx, dword [Form+4] ; y/height of window
mov edx,0x03ffffff ; color of work area RRGGBB,8->color gl
mov esi,0x805080d0 ; color of grab bar RRGGBB,8->color gl
mov edi,0x005080d0 ; color of frames RRGGBB
int 0x40 ; draw the window
; WINDOW LABEL
mov eax,4 ; function 4 : write text to window
mov ebx,8*65536+8 ; [x start] *65536 + [y start]
mov ecx,0x10ddeeff ; font 1 & color ( 0xF0RRGGBB )
mov edx,labelt ; pointer to text beginning
mov esi,labellen-labelt ; text length
int 0x40
 
;draw captions to window
mov ebx, 20*65536+25 ;x start, ystart of text
mov ecx, 0x224466 ;color of text
mov edx, dword PCIWin ;start of text buffer
mov esi, 106 ;lenght of line 106
newline: ;
mov eax, 4 ;draw text system function
int 0x40 ;draw the text
add ebx, 10 ;one line down
add edx, esi ;add lenght of line to offset of text buffer
cmp byte[edx], byte 'x' ;is it the end of buffer?
jne newline ;if not draw another line of text
 
mcall 0, dword [Form], dword [Form + 4], 0x13ffffff, 0x805080d0, title
;Insert horizontal bars in list area
mov eax, 13 ;draw bar system function
mov ebx, 18 ;set Xstart position of bar
244,7 → 186,7
mov ecx, 119*65536+10 ;set Ystart(109) and Height(10) of bar 109
mov edx, 0xC0C0C0 ;set color of bar
again: ;begin draw bar loop
int 0x40 ;draw bar to window area
mcall ; draw bar to window area
shr ecx, 16 ;move the Ystart position to working area
add ecx, 34 ;add 34 pixels to Y Start (moves bar down)
cmp cx,word [Form+4] ;is the Ystart position outside of window area
254,58 → 196,50
add ecx, 10 ;Bar Height is always 10 pixels
jmp again ;draw another bar
nomo: ;done drawing bars here
 
;start PCI stuff
call Get_PCI_Info ;get pci version and last bus
mov cx,word [PCI_Version] ;number to draw
mov eax, 47 ;draw number system function
xor esi, esi ;color of text
mov ebx, 0x00040100 ;4 digits to draw in hex format
mov edx, 110*65536+45 ;x/y start position of number
int 0x40 ;draw pci version to window
mov cl,byte [PCI_LastBus] ;number to draw
mov ebx, 0x00020100 ;2 digits hex format
add edx, 10 ;one line below pci version
int 0x40 ;draw the last bus to window
call Get_PCI_Info ; get pci version and last bus, scan for and draw each pci device
 
call scan_Pci ;scan for and draw each pci device
; Window inteface
mov cx, [PCI_Version]
add ch, '0'
mov [PCIWin + 85], ch ; 0xBADCODE but it work !
mov ch, cl
shr cl, 4
and ch, 0x0f
add cx, '00'
mov [PCIWin + 87], cx
mov cl, [PCI_LastBus]
add cl, '0'
mov [PCIWin + 105], cl
 
mov edx, PCIWin
mov ebx, 20 * 65536 + 25 ; x start, ystart of text
mov ecx, 0x224466 ; color of text
mov eax, 4
@@: movzx esi, byte[edx]
inc edx
mcall
add ebx, 10
add edx, esi
cmp byte[edx], -1
jne @b
; Quantity of devices...
movzx ecx, byte [total] ;number to draw
mov eax, 47 ;draw number system function
mov ebx, 0x00020000 ;2 digits to draw in decimal format
xor esi, esi ;color of text
mov edx, 150*65536+65 ;x/y position to draw to
int 0x40 ;draw total number of devices to window
mcall 47, 0x00020000,,150 * 65536 + 65, 0x224466
 
mov eax,12 ; function 12:tell os about windowdraw
mov ebx,2 ; 2, end of draw
int 0x40
 
mcall 12, 2 ; end of draw
ret
; ***********************************************
; ******* END WINDOW DEFINITIONS & DRAW *******
; ***********************************************
 
 
;******************************************************
;------------------------------------------------------------------
;* Gets the PCI Version and Last Bus
Get_PCI_Info:
mov eax, 62
xor ebx, ebx
int 0x40
mcall 62, 0
mov word [PCI_Version], ax
mov eax, 62
mov ebx, 1
int 0x40
mcall 62, 1
mov byte [PCI_LastBus], al
ret
;******************************************************
 
 
;******************************************************
;----------------------------------------------------------
;* Get all devices on PCI Bus
scan_Pci:
cmp byte [PCI_LastBus],0xff ;0xFF means no pci bus found
cmp al, 0xff ; 0xFF means no pci bus found
jne Pci_Exists ;
ret ;if no bus then leave
Pci_Exists:
317,8 → 251,7
mov bh, byte [V_Bus] ;bus of pci device
mov ch, byte [V_Dev] ;device number/function
mov cl, 0 ;offset to device/vendor id
mov eax, 62 ;pci system function
int 0x40 ;get ID's
mcall 62 ; get ID's
 
cmp ax, 0 ;Vendor ID should not be 0 or 0xFFFF
je nextDev ;check next device if nothing exists here
328,27 → 261,29
mov word [PCI_Vendor], ax ;There is a device here, save the ID's
shr eax, 16 ;
mov word [PCI_Device], ax ;
mov eax, 62 ;PCI Sys Function
mov bl, 4 ;Read config byte
mov bh, byte [V_Bus] ;Bus #
mov ch, byte [V_Dev] ;Device # on bus
mov cl, 0x08 ;Register to read (Get Revision)
int 0x40 ;Read it
mcall 62 ; Read it
mov byte [PCI_Rev], al ;Save it
mov eax, 62 ;PCI Sys Function
mov cl, 0x0b ;Register to read (Get class)
int 0x40 ;Read it
mcall 62 ; Read it
mov byte [PCI_Class], al ;Save it
mov eax, 62 ;PCI Sys Function
mov cl, 0x0a ;Register to read (Get Subclass)
int 0x40 ;Read it
mcall 62 ; Read it
mov byte [PCI_SubClass], al ;Save it
; from Mario79 august 2006
mov eax, 62 ;PCI Sys Function
mov cl, 0x09 ;Register to read (Get Interface)
int 0x40 ;Read it
mcall 62 ; Read it
mov [PCI_Interface], al ;Save it
;
; from Ghost april 2007
mov cl, 0x3c ; Register to read (Get IRQ)
@@: mcall 62 ; Read it
mov [PCI_IRQ], al ; Save it
;
inc byte [total] ;one more device found
call Print_New_Device ;print device info to screen
nextDev:
361,943 → 296,156
cmp byte [V_Bus], al ;was it last bus
jbe Start_Enum ;if not jump to keep searching
ret
;******************************************************
 
 
 
;******************************************************
;------------------------------------------------------------------
;* Print device info to screen
Print_New_Device:
mov eax, 47 ;Write number to screen system function
mov ebx, 0x00040100 ;4 byte number, print in hexidecimal
xor esi, esi ;Color of text
movzx ecx,word [PCI_Vendor] ;Pointer to number to be written
int 0x40 ;Write Vendor ID
mcall 47, 0x00040100 ; Write Vendor ID
and edx, 0xFFFF ;*****************************************
or edx, 54*65536 ;X start becomes 54
movzx ecx,word [PCI_Device] ;get Vendor ID
int 0x40 ;Draw Vendor ID to Window
mov ebx, 0x00020100 ;2 digit number, in hexidecimal format
mcall ; Draw Vendor ID to Window
and edx, 0xFFFF ;*****************************************
or edx, 98*65536 ;X start becomes 98
movzx ecx,byte [V_Bus] ;get bus number
int 0x40 ;draw bus number to screen
mcall ,0x00020100 ; draw bus number to screen
and edx, 0xFFFF ;*****************************************
or edx, 128*65536 ;X start becomes 128
movzx ecx,byte [V_Dev] ;get device number
shr ecx, 3 ;device number is bits 3-7
int 0x40 ;Draw device Number To Window
mcall ; Draw device Number To Window
and edx, 0xFFFF ;*****************************************
or edx, 155*65536 ;X start becomes 155
movzx ecx, byte [V_Dev] ;get Function number
and ecx, 7 ;function is first 3 bits
int 0x40 ;Draw Function Number To Window
mcall ; Draw Function Number To Window
and edx, 0xFFFF ;*****************************************
or edx, 179*65536 ;X start becomes 179
movzx ecx,byte [PCI_Rev] ;get revision number
int 0x40 ;Draw Revision to screen
mcall ; Draw Revision to screen
and edx, 0xFFFF ;*****************************************
or edx, 215*65536 ;X start becomes 215
movzx ecx,byte [PCI_Class] ;get PCI_Class
int 0x40 ;Draw Class to screen
mcall ; Draw Class to screen
and edx, 0xFFFF ;*****************************************
or edx, 250*65536 ;X start becomes 250
movzx ecx,byte [PCI_SubClass];get sub class
int 0x40 ;Draw Sub Class to screen
mcall ; Draw Sub Class to screen
; from Mario79 august 2006
and edx, 0xFFFF ;*****************************************
or edx, 280*65536 ;X start becomes 280
movzx ecx, [PCI_Interface] ;get Interface
int 0x40
mcall
;
; from Ghost april 2007 ;*****************************************
movzx ecx, [PCI_IRQ] ; get Interface
cmp cl, 0x0f ; IRQ between 0..15
ja @f
and edx, 0xFFFF
or edx, 310 * 65536 ; X start becomes 310
mcall
@@:
;
;Write Names
movzx ebx, dx ;Set y position
or ebx, 310*65536 ;set Xposition to 310
or ebx, 340 * 65536 ; set Xposition to 340
 
;**********************************************************
;------------------------------------------------------------------
;Prints the Vendor's Name based on Vendor ID
;
; modified part by vhanla (I know it is not a fastest way to search)
; it needs optimization... HELP this project!
;
; Modified on 30-04-2006 by JMD for size
;-----------------------------------------------------------------------------
;first determine which list to find the vendor in
mov ax, word [PCI_Vendor]
mov ecx, 255 ;# vendors in most lists
cmp ax,4540 ;Check if Vendor's value is less than this number (the start of next part)
jae next1 ;if it is less, let's continue, or jump to next1
mov edx, _FIRSTPART ;select this list
jmp rep1 ;start searching list
next1: ;
cmp ax,5120 ;same thing happening here as above^
jae next2 ;
mov edx, _SECONDPART ;
jmp rep1 ;
next2: ;
cmp ax,5459 ;
jae next3 ;
mov edx, _THIRDPART ;
jmp rep1 ;
next3: ;
mov ecx, 222 ;only 222 vendors in this list
mov edx, _FOURTHPART ;
; Modified on ??-04-2007 by Ghost for size
;------------------------------------------------------------------
mov edx, VendorsTab
mov cx, word[PCI_Vendor]
 
rep1:
cmp ax,word[edx+50] ;are Vendor ID's the same?
je ex ;if so jump to print the description to screen
add edx, 52 ;if not put us at start of next description
dec ecx ;one less description in list
jnz rep1 ;was it our last?
mov edx, _UNKNOWN ;if so we dont know this Vendor
.fn: mov ax, [edx]
add edx, 6
test ax, ax
jz .find
cmp ax, cx
jne .fn
.find: mov edx, [edx - 4]
mcall 4,, 0x80000000 ; lets print the vendor Name
 
ex:
;lets print the vendor Name
xor ecx, ecx ;font color
mov eax,4 ;OS CMD
mov esi,50 ;Length of text
int 0x40 ;Print the text
 
;------------------------------------------------------------------
;Get description based on Class/Subclass
cmp byte [PCI_Class], 11h ;we only know of 17 classes
ja endd ;if its more then, its unknown to us, so jump
movzx eax, byte [PCI_Class] ;load our class
shl eax, 3 ;multiply for jump table
mov ecx, [ClassList+eax+4] ;number of descriptions for this class
mov edx, [ClassList+eax] ;start of description list for class
 
mov al, byte [PCI_SubClass] ;get subclass
; mov ah, byte [PCI_Interface] ;get subclass
repu1:
cmp al,byte[edx+32] ;are subclasses the same?
je interface_check ;if so jump to print the description to screen
add edx, 33 ;if not put us at start of next description
dec ecx ;one less description in list
jnz repu1 ;was it our last?
mov edx,_UNKNOWND ;if so its unknown device
jmp endd
 
interface_check:
 
cmp [PCI_Class], 00h
je endd
 
;////////////////////////////
cmp [PCI_Class], 01h
je check01
jmp nextclass02
 
check01:
cmp [PCI_SubClass], 05h
je sc01_05
jmp endd
 
sc01_05:
cmp [PCI_Interface], 20h
je sc01_05_20
cmp [PCI_Interface], 30h
je sc01_05_30
jmp endd
 
 
sc01_05_20:
mov edx,ata1 ; pointer to text beginning
jmp endd
 
sc01_05_30:
mov edx,ata2 ; pointer to text beginning
jmp endd
 
 
;////////////////////////////
nextclass02:
cmp [PCI_Class], 02h
je endd
;////////////////////////////////
cmp [PCI_Class], 03h
je check03
jmp nextclass04
 
check03:
cmp [PCI_SubClass], 00h
je sc03_00
jmp endd
 
sc03_00:
cmp [PCI_Interface], 00000000b
je sc03_00_00000000
cmp [PCI_Interface], 00000001b
je sc03_00_00000001
;jmp endd
 
 
sc03_00_00000000:
mov edx,display1 ; pointer to text beginning
jmp endd
 
sc03_00_00000001:
mov edx,display2 ; pointer to text beginning
jmp endd
;///////////////////////////////
nextclass04:
cmp [PCI_Class], 04h
je endd
 
cmp [PCI_Class], 05h
je endd
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
cmp [PCI_Class], 06h
 
je check06
jmp nextclass07
 
check06:
cmp [PCI_SubClass], 04h
je sc06_04
 
cmp [PCI_SubClass], 09h
je sc06_09
jmp endd
 
sc06_04:
cmp [PCI_Interface], 00h
je sc06_04_00
cmp [PCI_Interface], 01h
je sc06_04_01
jmp endd
 
 
sc06_04_00:
mov edx,bridge1 ; pointer to text beginning
jmp endd
 
sc06_04_01:
mov edx,bridge2 ; pointer to text beginning
jmp endd
 
;======================================
 
sc06_09:
cmp [PCI_Interface], 40h
je sc06_09_40
cmp [PCI_Interface], 80h
je sc06_09_80
jmp endd
 
 
sc06_09_40:
mov edx,bridge3 ; pointer to text beginning
jmp endd
 
sc06_09_80:
mov edx,bridge4 ; pointer to text beginning
jmp endd
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
nextclass07:
cmp [PCI_Class], 07h
je check07
jmp nextclass08
 
check07:
cmp [PCI_SubClass], 00h
je sc07_00
 
cmp [PCI_SubClass], 01h
je sc07_01
 
cmp [PCI_SubClass], 03h
je sc07_03
jmp endd
 
;=
sc07_00:
cmp [PCI_Interface], 00h
je sc07_00_00
cmp [PCI_Interface], 01h
je sc07_00_01
cmp [PCI_Interface], 02h
je sc07_00_02
cmp [PCI_Interface], 03h
je sc07_00_03
cmp [PCI_Interface], 04h
je sc07_00_04
cmp [PCI_Interface], 05h
je sc07_00_05
cmp [PCI_Interface], 06h
je sc07_00_06
 
 
sc07_00_00:
mov edx,communication0 ; pointer to text beginning
jmp endd
 
sc07_00_01:
mov edx,communication1 ; pointer to text beginning
jmp endd
 
sc07_00_02:
mov edx,communication2 ; pointer to text beginning
jmp endd
 
sc07_00_03:
mov edx,communication3 ; pointer to text beginning
jmp endd
 
sc07_00_04:
mov edx,communication4 ; pointer to text beginning
jmp endd
 
sc07_00_05:
mov edx,communication5 ; pointer to text beginning
jmp endd
 
sc07_00_06:
mov edx,communication6 ; pointer to text beginning
jmp endd
;=
sc07_01:
cmp [PCI_Interface], 00h
je sc07_01_00
cmp [PCI_Interface], 01h
je sc07_01_01
cmp [PCI_Interface], 02h
je sc07_01_02
cmp [PCI_Interface], 03h
je sc07_01_03
cmp [PCI_Interface], $FE
je sc07_01_FE
 
 
sc07_01_00:
mov edx,communication7 ; pointer to text beginning
jmp endd
 
sc07_01_01:
mov edx,communication8 ; pointer to text beginning
jmp endd
 
sc07_01_02:
mov edx,communication9 ; pointer to text beginning
jmp endd
 
sc07_01_03:
mov edx,communication10 ; pointer to text beginning
jmp endd
 
sc07_01_FE:
mov edx,communication11 ; pointer to text beginning
jmp endd
 
 
;=
sc07_03:
cmp [PCI_Interface], 00h
je sc07_03_00
cmp [PCI_Interface], 01h
je sc07_03_01
cmp [PCI_Interface], 02h
je sc07_03_02
cmp [PCI_Interface], 03h
je sc07_03_03
cmp [PCI_Interface], 04h
je sc07_03_04
 
 
sc07_03_00:
mov edx,communication12 ; pointer to text beginning
jmp endd
 
sc07_03_01:
mov edx,communication13 ; pointer to text beginning
jmp endd
 
sc07_03_02:
mov edx,communication14 ; pointer to text beginning
jmp endd
 
sc07_03_03:
mov edx,communication15 ; pointer to text beginning
jmp endd
 
sc07_03_04:
mov edx,communication16 ; pointer to text beginning
jmp endd
 
 
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
nextclass08:
cmp [PCI_Class], 08h
je check08
jmp nextclass09
 
check08:
cmp [PCI_SubClass], 00h
je sc08_00
cmp [PCI_SubClass], 01h
je sc08_01
cmp [PCI_SubClass], 02h
je sc08_02
cmp [PCI_SubClass], 03h
je sc08_03
jmp endd
;--
sc08_00:
cmp [PCI_Interface], 00h
je sc08_00_00
cmp [PCI_Interface], 01h
je sc08_00_01
cmp [PCI_Interface], 02h
je sc08_00_02
cmp [PCI_Interface], 10h
je sc08_00_10
cmp [PCI_Interface], 20h
je sc08_00_20
jmp endd
 
 
sc08_00_00:
mov edx,system0 ; pointer to text beginning
jmp endd
 
sc08_00_01:
mov edx,system1 ; pointer to text beginning
jmp endd
 
sc08_00_02:
mov edx,system2 ; pointer to text beginning
jmp endd
 
sc08_00_10:
mov edx,system3 ; pointer to text beginning
jmp endd
 
sc08_00_20:
mov edx,system4 ; pointer to text beginning
jmp endd
 
;--
 
sc08_01:
cmp [PCI_Interface], 00h
je sc08_01_00
cmp [PCI_Interface], 01h
je sc08_01_01
cmp [PCI_Interface], 02h
je sc08_01_02
jmp endd
 
 
sc08_01_00:
mov edx,system5 ; pointer to text beginning
jmp endd
 
sc08_01_01:
mov edx,system6 ; pointer to text beginning
jmp endd
 
sc08_01_02:
mov edx,system7 ; pointer to text beginning
jmp endd
 
 
;--
 
sc08_02:
cmp [PCI_Interface], 00h
je sc08_02_00
cmp [PCI_Interface], 01h
je sc08_02_01
cmp [PCI_Interface], 02h
je sc08_02_02
jmp endd
 
 
sc08_02_00:
mov edx,system8 ; pointer to text beginning
jmp endd
 
sc08_02_01:
mov edx,system9 ; pointer to text beginning
jmp endd
 
sc08_02_02:
mov edx,system10 ; pointer to text beginning
jmp endd
 
;--
sc08_03:
cmp [PCI_Interface], 00h
je sc08_03_00
cmp [PCI_Interface], 01h
je sc08_03_01
jmp endd
 
 
sc08_03_00:
mov edx,system11 ; pointer to text beginning
jmp endd
 
sc08_03_01:
mov edx,system12 ; pointer to text beginning
jmp endd
 
;--
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
nextclass09:
 
cmp [PCI_Class], 09h
je check09
jmp nextclass0A
 
check09:
cmp [PCI_SubClass], 04h
je sc09_04
jmp endd
 
sc09_04:
cmp [PCI_Interface], 00h
je sc09_04_00
cmp [PCI_Interface], 10h
je sc09_04_10
jmp endd
 
 
sc09_04_00:
mov edx,gameport1 ; pointer to text beginning
jmp endd
 
sc09_04_10:
mov edx,gameport2 ; pointer to text beginning
jmp endd
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
 
nextclass0A:
cmp [PCI_Class], 0Ah
je endd
 
cmp [PCI_Class], 0Bh
je endd
;============================================
cmp [PCI_Class], 0Ch
je check0C
jmp nextclass0D
 
check0C:
cmp [PCI_SubClass], 00h
je sc0C_00
cmp [PCI_SubClass], 03h
je sc0C_03
cmp [PCI_SubClass], 07h
je sc0C_07
jmp endd
;;;;;;;;;;;
 
sc0C_00:
cmp [PCI_Interface], 00h
je sc0C_00_00
 
cmp [PCI_Interface], 10h
je sc0C_00_10
 
 
 
sc0C_00_00:
mov edx,serialbus6 ; pointer to text beginning
jmp endd
 
sc0C_00_10:
mov edx,serialbus7 ; pointer to text beginning
jmp endd
;;;;;;;;;;;;;;;;;;;
 
sc0C_03:
cmp [PCI_Interface], 00h
je sc0C_03_00
 
cmp [PCI_Interface], 10h
je sc0C_03_10
 
cmp [PCI_Interface], 20h
je sc0C_03_20
cmp [PCI_Interface], 80h
je sc0C_03_80
 
cmp [PCI_Interface], $FE
je sc0C_03_FE
 
;jmp endd
 
sc0C_03_00:
mov edx,serialbus1 ; pointer to text beginning
jmp endd
 
sc0C_03_10:
mov edx,serialbus2 ; pointer to text beginning
jmp endd
 
 
sc0C_03_20:
mov edx,serialbus3 ; pointer to text beginning
jmp endd
 
sc0C_03_80:
mov edx,serialbus4 ; pointer to text beginning
jmp endd
 
sc0C_03_FE:
mov edx,serialbus5 ; pointer to text beginning
jmp endd
 
;;;;;;;;;;;
 
sc0C_07:
cmp [PCI_Interface], 00h
je sc0C_07_00
 
cmp [PCI_Interface], 01h
je sc0C_07_01
 
cmp [PCI_Interface], 02h
je sc0C_07_02
 
 
sc0C_07_00:
mov edx,serialbus8 ; pointer to text beginning
jmp endd
 
sc0C_07_01:
mov edx,serialbus9 ; pointer to text beginning
jmp endd
 
sc0C_07_02:
mov edx,serialbus10 ; pointer to text beginning
jmp endd
;;;;;;;;;;;;;;;;;;;
;==============================================
nextclass0D:
cmp [PCI_Class], 0Dh
je endd
;;;;;;;;;;;;;;;;;;;;;;;;;;
cmp [PCI_Class], 0Eh
je check0E
jmp nextclass0F
 
check0E:
cmp [PCI_SubClass], 00h
je sc0E_00
jmp endd
 
sc0E_00:
cmp [PCI_Interface], 00h
je sc0E_00_00
cmp [PCI_Interface], 00h ;!!!
ja sc0E_00_xx
jmp endd
 
 
sc0E_00_00:
mov edx,i2o1 ; pointer to text beginning
jmp endd
 
sc0E_00_xx:
mov edx,i2o2 ; pointer to text beginning
jmp endd
 
 
;////////////////////////////
nextclass0F:
cmp [PCI_Class], 0Fh
je endd
 
cmp [PCI_Class], 10h
je endd
 
cmp [PCI_Class], 11h
je endd
 
endd:
;
; Modified on ??-04-2007 by Ghost for size
;------------------------------------------------------------------
mov eax, dword [PCI_Class]
and eax, 0xffffff
xor edx, edx
xor esi, esi
.fnc: inc esi
mov ecx, [Classes + esi * 8 - 8]
cmp cx, 0xffff
je .endfc
cmp cx, ax
jne .fnc
test ecx, 0xff000000
jz @f
mov edx, [Classes + esi * 8 - 4]
jmp .fnc
@@: cmp eax, ecx
jne .fnc
xor edx, edx
.endfc: test edx, edx
jnz @f
mov edx, [Classes + esi * 8 - 4]
@@:
and ebx, 0x0000FFFF ;clear X position
or ebx, 0x02300000 ;set X position to 560 pixels
xor ecx, ecx ;color of text
mov eax,4 ;draw text system function
mov esi,32 ;length of text to draw
int 0x40 ;draw the text
 
or ebx, 0x24E0000 ; set X position to 590 pixels
mcall 4,, 0x80000000,, 32 ; draw the text
movzx edx, bx ;get y coordinate
add edx, 0x0014000A ;add 10 to y coordinate and set x coordinate to 20
ret
 
ClassList:
dd Class0 , 2, Class1 , 8, Class2, 8, Class3, 4
dd Class4 , 4, Class5 , 3, Class6, 12, Class7, 7
dd Class8 , 8, Class9 , 6, ClassA, 2, ClassB, 7
dd ClassC , 10, ClassD , 8, ClassE, 1, ClassF, 4
dd Class10, 3, Class11, 5
include 'VENDORS.INC'
;------------------------------------------------------------------
 
 
 
; DATA AREA
DATA
 
labelt:
db 'PCI Device Enumeration v 2.0 by J. Delozier, S. Kuzmin, V. Hanla, M. Zakiyanov'
labellen:
 
ata1:
db 'Storage - ATA c. w/ single DMA '
ata1len:
Form: dw 800 ; window width (no more, special for 800x600)
dw 100 ; window x start
dw 420 ; window height
dw 100 ; window y start
 
ata2:
db 'Storage - ATA c. w/ chained DMA '
ata2len:
title db 'PCI Device Enumeration v 2.1 by J. Delozier, S. Kuzmin, V. Hanla, M. Zakiyanov', 0
 
PCIWin mls \
'Please remember to enable PCI Access to Applications in Setup Menu.',\
'',\
'PCI Version = x.xx',\
'Last PCI Bus = x',\
'Quantity of devices =',\
'',\
'VenID DevID Bus# Dev# Fnc Rev Class Subclass/ IRQ Company Description',\
' Interface',\
'----- ----- ---- ---- --- --- ----- --------- --- ------------------------------------------ ----------------'
 
display1:
db 'Display - VGA-compatible c. '
display1len:
;------------------------------------------------------------------
; UNINITIALIZED DATA AREA
UDATA
 
display2:
db 'Display - 8514-compatible c. '
display2len:
total db ?
V_Bus db ?
V_Dev db ?
PCI_Version dw ?
PCI_LastBus db ?
PCI_Device dw ?
PCI_Vendor dw ?
PCI_Bus db ?
PCI_Dev db ?
PCI_Rev db ?
; don`t change order!!!
PCI_Class db ?
PCI_SubClass db ?
PCI_Interface db ?
PCI_IRQ db ?
 
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
serialbus1:
db 'Serial Bus - USB Universal HC '
serialbus1len:
 
serialbus2:
db 'Serial Bus - USB Open HC '
serialbus2len:
 
serialbus3:
db 'Serial Bus - USB2 Enhanced HC '
serialbus3len:
 
serialbus4:
db 'Serial Bus - USB w/o specific PI'
serialbus4len:
 
serialbus5:
db 'Serial Bus - USB device (not HC)'
serialbus5len:
 
 
serialbus6:
db 'Serial Bus - IEEE 1394(FireWire)'
serialbus6len:
 
serialbus7:
db 'Serial Bus- IEEE 1394(Open HCI) '
serialbus7len:
 
 
serialbus8:
db 'Serial Bus - IPMI SMIC I. '
serialbus8len:
 
serialbus9:
db 'Serial Bus - IPMI Kybd CSI '
serialbus9len:
 
serialbus10:
db 'Serial Bus - IPMI BTI '
serialbus10len:
;;;;;;;;;;;;;;;;;;;;;;;
bridge1:
db 'Bridge - PCI/PCI '
bridge1len:
 
bridge2:
db 'Bridge - Subtract.Decode PCI/PCI'
bridge2len:
 
bridge3:
db 'Bridge - Semi-transp. PCI/PCI 1 '
bridge3len:
 
bridge4:
db 'Bridge - Semi-transp. PCI/PCI 2 '
bridge4len:
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
gameport1:
db 'Input - Gameport c. (generic) '
gameport1len:
 
gameport2:
db 'Input - Gameport c. (legacy) '
gameport2len:
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
i2o1:
db 'Intelligent I/O - I/O c. (I2O 1)'
i2o1len:
 
i2o2:
db 'Intelligent I/O - c.(FIFO @ 40h)'
i2o2len:
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
 
communication0:
db 'Communication - Serial (XT) '
communication0len:
 
communication1:
db 'Communication - Serial c.(16450)'
communication1len:
 
communication2:
db 'Communication - Serial c.(16550)'
communication2len:
 
communication3:
db 'Communication - Serial c.(16650)'
communication3len:
 
communication4:
db 'Communication - Serial c.(16750)'
communication4len:
 
communication5:
db 'Communication - Serial c.(16850)'
communication5len:
 
communication6:
db 'Communication - Serial c.(16950)'
communication6len:
;-------------------------------
communication7:
db 'Communication - Parallel port '
communication7len:
 
communication8:
db 'Communication - Bi-dir. par.port'
communication8len:
 
communication9:
db 'Communication - ECP 1.X par.port'
communication9len:
 
communication10:
db 'Communication - IEEE1284 c. '
communication10len:
 
communication11:
db 'Communication - IEEE1284 device '
communication11len:
;-------------------------------
communication12:
db 'Communication - Generic modem '
communication12len:
 
communication13:
db 'Communication -Hayes modem 16450'
communication13len:
 
communication14:
db 'Communication -Hayes modem 16550'
communication14len:
 
communication15:
db 'Communication -Hayes modem 16650'
communication15len:
 
communication16:
db 'Communication -Hayes modem 16750'
communication16len:
 
 
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
 
system0:
db 'System - Generic 8259 PIC '
system0len:
 
system1:
db 'System - ISA PIC '
system1len:
 
system2:
db 'System - EISA PIC '
system2len:
 
system3:
db 'System - I/O APIC interrupt c. '
system3len:
 
system4:
db 'System - I/O(x) APIC interrupt c'
system4len:
;-
system5:
db 'System - Generic 8237 DMA c. '
system5len:
 
system6:
db 'System - ISA DMA c. '
system6len:
 
system7:
db 'System - EISA DMA c. '
system7len:
;--
system8:
db 'System - 8254 system timer '
system8len:
 
system9:
db 'System - ISA system timer '
system9len:
 
system10:
db 'System - EISA (2 system timers) '
system10len:
;--
system11:
db 'System - Generic RTC c. '
system11len:
 
system12:
db 'System - ISA RTC c. '
system12len:
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
PCIWin:
db 'Please remember to enable PCI Access to Applications in Setup Menu.'
db ' '
db ' '
db ' '
db 'PCI Version = '
db ' '
db 'Last PCI Bus = '
db ' '
db 'Quantity of devices = '
db ' '
db ' '
db ' '
db 'VenID DevID Bus# Dev# Fnc Rev Class Subclass/ Comp'
db 'any Description '
db ' Interface '
db ' '
db '----- ----- ---- ---- --- --- ----- -------- --------------------'
db '---------------------- ----------------'
db 'x'
 
;
 
total db 0
V_Bus db 0
V_Dev db 0
PCI_Version dw 0
PCI_LastBus db 0
PCI_Device dw 0
PCI_Vendor dw 0
PCI_Bus db 0
PCI_Dev db 0
PCI_Rev db 0
PCI_Class db 0
PCI_SubClass db 0
PCI_Interface db 0
 
Proc_Info:
times 1024 db 0
 
I_END:
Proc_Info process_information
MEOS_APP_END
/programs/system/pcidev/trunk/macros.inc
1,3 → 1,44
 
;~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-
macro iglobal {
IGlobals equ IGlobals,
macro __IGlobalBlock { }
macro uglobal {
UGlobals equ UGlobals,
macro __UGlobalBlock { }
 
endg fix } ; Use endg for ending iglobal and uglobal blocks.
 
 
macro IncludeIGlobals{
macro IGlobals dummy,[n] \{ __IGlobalBlock
purge __IGlobalBlock \}
match I, IGlobals \{ I \} }
 
macro IncludeUGlobals{
macro UGlobals dummy,[n] \{
\common
\local begin, size
begin = $
virtual at $
\forward
__UGlobalBlock
purge __UGlobalBlock
\common
size = $ - begin
end virtual
rb size
\}
match U, UGlobals \{ U \} }
 
uglobal
endg
iglobal
endg
 
;~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-~-
; new application structure
macro meos_app_start
{
30,6 → 71,7
macro data
{
__data:
IncludeIGlobals
}
DATA fix data
 
44,6 → 86,7
__end:
end if
__udata:
IncludeUGlobals
}
UDATA fix udata
 
72,7 → 115,23
dd -1
}
 
; macro for defining multiline text data
struc mls [sstring] {
forward
local ssize
virtual at 0
db sstring ; mod
ssize = $
end virtual
db ssize
db sstring
common
 
db -1 ; mod
}
 
 
 
; strings
macro sz name,[data] { ; from MFAR [mike.dld]
common
131,7 → 190,11
end if
}
 
macro mcall a,b,c,d,e,f { ; mike.dld
__CPU_type equ p5
SYSENTER_VAR equ 0
 
macro mcall a,b,c,d,e,f { ; mike.dld, updated by Ghost for Fast System Calls
local ..ret_point
__mov eax,a
__mov ebx,b
__mov ecx,c
138,11 → 201,35
__mov edx,d
__mov esi,e
__mov edi,f
 
if __CPU_type eq p5
int 0x40
else
if __CPU_type eq p6
push ebp
mov ebp, esp
push ..ret_point ; it may be 2 or 5 byte
sysenter
..ret_point:
pop edx
pop ecx
 
else
if __CPU_type eq k6
push ecx
syscall
pop ecx
else
display 'ERROR : unknown CPU type'
int 0x40
end if
end if
end if
}
 
 
 
 
; optimize the code for size
__regs fix <eax,ebx,ecx,edx,esi,edi,ebp,esp>
 
/programs/system/pcidev/trunk/vendors.inc
1,17 → 1,3
;WriteTextToWindow
macro Text xStart,yStart,rgbColor,pText,nTextLen
{
mov ebx,xStart
shl ebx,16
add ebx,yStart
mov ecx,rgbColor
mov edx,pText
mov esi,nTextLen
mov eax,4
int 0x40
}
 
 
; AUTHOR: Victor Alberto Gil Hancco Laquita <vhanla@gmail.com>
; This list might content mistakes, plz report it
; There are 865 Lists of Vendors' Names
26,2223 → 12,1217
; changed 16/08/2006: + 122 vendors
; so the total quantity of vendors is 987 now (changes by Sergey Kuzmin)
 
_FIRSTPART: ;lists the 255 Vendor IDs
db 'PARADYNE CORP. '
dw 51 ; 0x0033
db 'Lockheed Martin Corp '
dw 61 ; 0x003D
db 'NCIPHER CORP. LTD '
dw 256 ; 0x0100
db 'BREA TECHNOLOGIES INC '
dw 2697 ; 0x0A89
db 'COMPAQ COMPUTER CORP. '
dw 3601 ; 0x0E11
db 'SYMBIOS LOGIC INC/LSI Logic '
dw 4096 ; 0x1000
db 'KOLTER ELECTRONIC '
dw 4097 ; 0x1001
db 'ATI TECHNOLOGIES INC '
dw 4098 ; 0x1002
db 'ULSI '
dw 4099 ; 0x1003
db 'VLSI TECHNOLOGY INC '
dw 4100 ; 0x1004
db 'NATIONAL SEMICONDUCTOR CORPORATION '
dw 4107 ; 0x100B
db 'Tseng Labs '
dw 4108 ; 0x100C
db 'Weitek '
dw 4110 ; 0x100E
db 'VIDEO LOGIC LTD '
dw 4112 ; 0x1010
db 'Digital Equipment Corporation '
dw 4113 ; 0x1011
db 'Cirrus Logic '
dw 4115 ; 0x1013
db 'IBM '
dw 4116 ; 0x1014
db 'Spea Software AG '
dw 4119 ; 0x1017
db 'UNISYS CORPORATION '
dw 4120 ; 0x1018
db 'ELITEGROUP COMPUTER SYS '
dw 4121 ; 0x1019
db 'NCR Corporation '
dw 4122 ; 0x101A
db 'AMERICAN MEGATRENDS '
dw 4126 ; 0x101E
db 'HITACHI COMPUTER PRODUCTS '
dw 4128 ; 0x1020
db 'OKI ELECTRIC INDUSTRY CO. LTD. '
dw 4129 ; 0x1021
db 'ADVANCED MICRO DEVICES '
dw 4130 ; 0x1022
db 'TRIDENT MICROSYSTEMS '
dw 4131 ; 0x1023
db 'Acer Incorporated '
dw 4133 ; 0x1025
db 'DELL COMPUTER CORPORATION '
dw 4136 ; 0x1028
db 'LSI LOGIC CORPORATION '
dw 4138 ; 0x102A
db 'MATROX GRAPHICS '
dw 4139 ; 0x102B
db 'Asiliant (Chips And Technologies) '
dw 4140 ; 0x102C
db 'Wyse Technologies '
dw 4141 ; 0x102D
db 'TOSHIBA AMERICA '
dw 4143 ; 0x102F
db 'miro Computer Products AG '
dw 4145 ; 0x1031
db 'NEC CORPORATION '
dw 4147 ; 0x1033
db 'Future Domain '
dw 4150 ; 0x1036
db 'AMP '
dw 4152 ; 0x1038
db 'SILICON INTEGRATED SYSTEMS '
dw 4153 ; 0x1039
db 'Hewlett-Packard Company '
dw 4156 ; 0x103C
db 'SYNOPSYS/LOGIC MODELING GROUP '
dw 4159 ; 0x103F
db 'Micron Electronics '
dw 4162 ; 0x1042
db 'ASUSTEK COMPUTER '
dw 4163 ; 0x1043
db 'DISTRIBUTED PROCESSING TECHNOLOGY '
dw 4164 ; 0x1044
db 'OPTI INC. '
dw 4165 ; 0x1045
db 'ELSA AG '
dw 4168 ; 0x1048
db 'FOUNTAIN TECHNOLOGIES '
dw 4169 ; 0x1049
db 'STMicroelectronics '
dw 4170 ; 0x104A
db 'TEXAS INSTRUMENTS '
dw 4172 ; 0x104C
db 'SONY CORPORATION '
dw 4173 ; 0x104D
db 'Oak Technology '
dw 4174 ; 0x104E
db 'Co-Time Computer Ltd. '
dw 4175 ; 0x104F
db 'WINBOND ELECTRONICS CORP '
dw 4176 ; 0x1050
db 'Anigma Corp. '
dw 4177 ; 0x1051
db 'HITACHI '
dw 4180 ; 0x1054
db 'Standard Microsystems Corp. '
dw 4181 ; 0x1055
db 'Motorola '
dw 4183 ; 0x1057
db 'ETRI '
dw 4184 ; 0x1058
db 'TEKNOR INDUSTRIAL COMPUTERS INC '
dw 4185 ; 0x1059
db 'PROMISE TECHNOLOGY '
dw 4186 ; 0x105A
db 'FOXCONN INTERNATIONAL INC '
dw 4187 ; 0x105B
db 'NUMBER 9 VISUAL TECHNOLOGY '
dw 4189 ; 0x105D
db 'INFOTRONIC AMERICA INC '
dw 4191 ; 0x105F
db 'United Microelectronics '
dw 4192 ; 0x1060
db '8x8 Inc. '
dw 4193 ; 0x1061
db 'OCEAN MANUFACTURING LTD '
dw 4195 ; 0x1063
db 'ALCATEL '
dw 4196 ; 0x1064
db 'Texas Microsystems '
dw 4197 ; 0x1065
db 'Picopower Technology '
dw 4198 ; 0x1066
db 'MITSUBISHI ELECTRIC AMERICA '
dw 4199 ; 0x1067
db 'DIVERSIFIED TECHNOLOGY '
dw 4200 ; 0x1068
db 'MYLEX CORPORATION '
dw 4201 ; 0x1069
db 'APPLE COMPUTER INC. '
dw 4203 ; 0x106B
db 'Hyundai Electronics America '
dw 4204 ; 0x106C
db 'SEQUENT COMPUTER SYSTEMS '
dw 4205 ; 0x106D
db 'DAEWOO TELECOM LTD '
dw 4208 ; 0x1070
db 'MITAC '
dw 4209 ; 0x1071
db 'YAMAHA CORPORATION '
dw 4211 ; 0x1073
db 'Nexgen Microsystems '
dw 4212 ; 0x1074
db 'Chaintech Comp. '
dw 4214 ; 0x1076
db 'QLOGIC Corporation '
dw 4215 ; 0x1077
db 'Cyrix Corporation '
dw 4216 ; 0x1078
db 'I-BUS '
dw 4217 ; 0x1079
db 'GATEWAY 2000 '
dw 4219 ; 0x107B
db 'Leadtek Research '
dw 4221 ; 0x107D
db 'INTERPHASE CORPORATION '
dw 4222 ; 0x107E
db 'Data Technology Corporation '
dw 4223 ; 0x107F
db 'Cypress Semiconductor '
dw 4224 ; 0x1080
db 'Radius Inc. '
dw 4225 ; 0x1081
db 'Forex Computer Corporation '
dw 4227 ; 0x1083
db 'Tulip Computers International BV '
dw 4229 ; 0x1085
db 'Cache Computer '
dw 4231 ; 0x1087
db 'SBS Operations '
dw 4234 ; 0x108A
db 'OLICOM '
dw 4237 ; 0x108D
db 'Sun Microsystems '
dw 4238 ; 0x108E
db 'Intergraph Corporation '
dw 4241 ; 0x1091
db 'Diamond Computer Systems '
dw 4242 ; 0x1092
db 'National Instruments '
dw 4243 ; 0x1093
db 'SILICON IMAGE (WAS CMD TECHNOLOGY INC) '
dw 4245 ; 0x1095
db 'ALACRON '
dw 4246 ; 0x1096
db 'APPIAN Graphics/ETMA '
dw 4247 ; 0x1097
db 'Quantum Designs Ltd. '
dw 4248 ; 0x1098
db 'PACKARD BELL NEC '
dw 4250 ; 0x109A
db 'BROOKTREE CORPORATION '
dw 4254 ; 0x109E
db 'TRIGEM COMPUTER INC. '
dw 4255 ; 0x109F
db 'MEIDENSHA CORPORATION '
dw 4256 ; 0x10A0
db 'QUANTUM EFFECT DESIGN '
dw 4258 ; 0x10A2
db 'Globe Manufacturing Sales '
dw 4260 ; 0x10A4
db 'Sierra Semiconductor '
dw 4264 ; 0x10A8
db 'SILICON GRAPHICS '
dw 4265 ; 0x10A9
db 'HONEYWELL IAC '
dw 4268 ; 0x10AC
db 'Winbond Systems Labs '
dw 4269 ; 0x10AD
db 'MICRO COMPUTER SYSTEMS INC '
dw 4271 ; 0x10AF
db 'PLX TECHNOLOGY. '
dw 4277 ; 0x10B5
db 'MADGE NETWORKS '
dw 4278 ; 0x10B6
db '3COM Corp, Networking Division '
dw 4279 ; 0x10B7
db 'Standard Microsystems Corporation '
dw 4280 ; 0x10B8
db 'ACER LABS Incorp. '
dw 4281 ; 0x10B9
db 'MITSUBISHI ELECTRIC CORP. '
dw 4282 ; 0x10BA
db 'Surecom Technology '
dw 4285 ; 0x10BD
db 'AUSPEX SYSTEMS INC. '
dw 4290 ; 0x10C2
db 'Samsung Semiconductors '
dw 4291 ; 0x10C3
db 'Award Software International Inc. '
dw 4292 ; 0x10C4
db 'NEOMAGIC CORPORATION '
dw 4296 ; 0x10C8
db 'FUJITSU MICROELECTRONIC '
dw 4298 ; 0x10CA
db 'OMRON CORPORATION '
dw 4299 ; 0x10CB
db 'ADVANCED SYSTEM PRODUCTS '
dw 4301 ; 0x10CD
db 'FUJITSU LIMITED '
dw 4303 ; 0x10CF
db 'FUTUREPLUS SYSTEMS CORP. '
dw 4305 ; 0x10D1
db 'MOLEX INCORPORATED '
dw 4306 ; 0x10D2
db 'Macronix International Co. Ltd. '
dw 4313 ; 0x10D9
db 'ROHM LSI SYSTEMS '
dw 4315 ; 0x10DB
db 'CERN-European Lab. for Particle Physics '
dw 4316 ; 0x10DC
db 'EVANS & SUTHERLAND '
dw 4317 ; 0x10DD
db 'NVIDIA CORPORATION '
dw 4318 ; 0x10DE
db 'EMULEX CORPORATION '
dw 4319 ; 0x10DF
db 'TEKRAM TECHNOLOGY CO.LTD. '
dw 4321 ; 0x10E1
db 'TUNDRA SEMICONDUCTOR CORP '
dw 4323 ; 0x10E3
db 'MICRO INDUSTRIES CORPORATION '
dw 4325 ; 0x10E5
db 'Applied Micro Circuits Corp. '
dw 4328 ; 0x10E8
db 'Tvia, Inc. '
dw 4330 ; 0x10EA
db 'Artist Graphics '
dw 4331 ; 0x10EB
db 'REALTEK SEMICONDUCTOR CORP. '
dw 4332 ; 0x10EC
db 'Ascii Corporation '
dw 4333 ; 0x10ED
db 'XILINX '
dw 4334 ; 0x10EE
db 'Racore Computer Products '
dw 4335 ; 0x10EF
db 'Curtiss-Wright Controls Embedded Computing '
dw 4336 ; 0x10F0
db 'TYAN COMPUTER '
dw 4337 ; 0x10F1
db 'S-Mos Systems '
dw 4340 ; 0x10F4
db 'NKK CORPORATION '
dw 4341 ; 0x10F5
db 'CREATIVE ELECTRONIC SYSTEMS SA '
dw 4342 ; 0x10F6
db 'Truevision '
dw 4346 ; 0x10FA
db 'Thesys Microelectronics '
dw 4347 ; 0x10FB
db 'I-O DATA DEVICE '
dw 4348 ; 0x10FC
db 'FAST MULTIMEDIA AG '
dw 4350 ; 0x10FE
db 'INITIO CORPORATION '
dw 4353 ; 0x1101
db 'CREATIVE LABS '
dw 4354 ; 0x1102
db 'SIGMA DESIGNS '
dw 4357 ; 0x1105
db 'VIA TECHNOLOGIES '
dw 4358 ; 0x1106
db 'ASCEND COMMUNICATIONS/Stratus Computer '
dw 4359 ; 0x1107
db 'Proteon Inc. '
dw 4360 ; 0x1108
db 'Adaptec/Cogent Data Technologies Inc '
dw 4361 ; 0x1109
db 'SIEMENS PC SYSTEME GMBH '
dw 4362 ; 0x110A
db 'Chromatic Research Inc '
dw 4363 ; 0x110B
db 'SANTA CRUZ OPERATION '
dw 4369 ; 0x1111
db 'Osicom Technologies Inc. '
dw 4370 ; 0x1112
db 'ACCTON TECHNOLOGY '
dw 4371 ; 0x1113
db 'Atmel Corp. '
dw 4372 ; 0x1114
db 'Media 100, Inc. '
dw 4374 ; 0x1116
db 'Datacube Inc. '
dw 4375 ; 0x1117
db 'FCI ELECTRONICS '
dw 4376 ; 0x1118
db 'ICP-VORTEX COMPUTERSYSTEM GMBH '
dw 4377 ; 0x1119
db 'EFFICIENT NETWORKS '
dw 4378 ; 0x111A
db 'Tricord Systems Inc. '
dw 4380 ; 0x111C
db 'INTEGRATED DEVICE TECH '
dw 4381 ; 0x111D
db 'Precision Digital Images '
dw 4383 ; 0x111F
db 'EMC CORPORATION '
dw 4384 ; 0x1120
db 'FORE SYSTEMS INC '
dw 4391 ; 0x1127
db 'HERMES ELECTRONICS COMPANY '
dw 4394 ; 0x112A
db 'Infomedia '
dw 4398 ; 0x112E
db 'IMAGING TECHNOLOGY '
dw 4399 ; 0x112F
db 'PHILIPS SEMICONDUCTORS '
dw 4401 ; 0x1131
db 'MITEL CORP '
dw 4402 ; 0x1132
db 'Eicon Networks Corporation '
dw 4403 ; 0x1133
db 'MERCURY COMPUTER SYSTEMS '
dw 4404 ; 0x1134
db 'FUJI XEROX CO LTD '
dw 4405 ; 0x1135
db 'MOMENTUM DATA SYSTEMS '
dw 4406 ; 0x1136
db 'CISCO SYSTEMS INC '
dw 4407 ; 0x1137
db 'ZIATECH CORPORATION '
dw 4408 ; 0x1138
db 'CYCLONE MICROSYSTEMS. '
dw 4412 ; 0x113C
db 'SANYO ELECTRIC CO-Information Systems Division '
dw 4414 ; 0x113E
db 'Equinox Systems '
dw 4415 ; 0x113F
db 'CREST MICROSYSTEM INC. '
dw 4417 ; 0x1141
db 'Alliance Semiconductor CA - USA '
dw 4418 ; 0x1142
db 'Cincinnati Milacron '
dw 4420 ; 0x1144
db 'WORKBIT CORPORATION '
dw 4421 ; 0x1145
db 'FORCE COMPUTERS GMBH '
dw 4422 ; 0x1146
db 'Interface Corp '
dw 4423 ; 0x1147
db 'SYSKONNECT/Marvell '
dw 4424 ; 0x1148
db 'VMIC '
dw 4426 ; 0x114A
db 'ANNABOOKS '
dw 4428 ; 0x114C
db 'DIGI INTERNATIONAL '
dw 4431 ; 0x114F
db 'MELCO INC '
dw 4436 ; 0x1154
db 'Pine Technology Ltd '
dw 4437 ; 0x1155
db 'Voarx R&D Inc '
dw 4440 ; 0x1158
db 'Mutech '
dw 4441 ; 0x1159
db 'PHOTRON LTD. '
dw 4444 ; 0x115C
db 'XIRCOM '
dw 4445 ; 0x115D
db 'PFU LIMITED '
dw 4449 ; 0x1161
db 'RENDITION '
dw 4451 ; 0x1163
db 'Imagraph Corporation '
dw 4453 ; 0x1165
db 'Reliance Computer Corp./ServerWorks '
dw 4454 ; 0x1166
db 'Centre f/Dev. of Adv. Computing '
dw 4457 ; 0x1169
db 'Polaris Communications '
dw 4458 ; 0x116A
db 'ELECTRONICS FOR IMAGING '
dw 4462 ; 0x116E
db 'INVENTEC CORPORATION '
dw 4464 ; 0x1170
db 'BLUE WAVE SYSTEMS '
dw 4465 ; 0x1171
db 'ALTERA CORPORATION '
dw 4466 ; 0x1172
db 'SBE '
dw 4470 ; 0x1176
db 'Alfa Inc '
dw 4472 ; 0x1178
db 'TOSHIBA AMERICA INFO SYSTEMS '
dw 4473 ; 0x1179
db 'GCE-8320B '
dw 4475 ; 0x117B
db 'T/R Systems '
dw 4478 ; 0x117E
db 'RICOH CO LTD '
dw 4480 ; 0x1180
db 'Dataworld '
dw 4485 ; 0x1185
db 'D-LINK SYSTEM INC '
dw 4486 ; 0x1186
db 'ADVANCED TECHNOLOGY LABORATORIES '
dw 4487 ; 0x1187
db 'MATSUSHITA ELECTIC INDUSTRIAL CO LTD '
dw 4489 ; 0x1189
db 'PLATYPUS TECHNOLOGY PTY LTD '
dw 4491 ; 0x118B
db 'Corollary Inc '
dw 4492 ; 0x118C
db 'BitFlow Inc '
dw 4493 ; 0x118D
db 'Hermstedt AG '
dw 4494 ; 0x118E
db 'Tripace '
dw 4496 ; 0x1190
db 'ACARD TECHNOLOGY '
dw 4497 ; 0x1191
db 'RATOC SYSTEMS INC '
dw 4501 ; 0x1195
db 'Gage Applied Technologies '
dw 4503 ; 0x1197
db 'Attachmate Corp. '
dw 4505 ; 0x1199
db 'MINDSHARE. '
dw 4506 ; 0x119A
db 'Omega Micro Inc. '
dw 4507 ; 0x119B
db 'BUG. '
dw 4509 ; 0x119D
db 'FUJITSU MICROELECTRONICS LTD. '
dw 4510 ; 0x119E
db 'BULL HN INFORMATION SYSTEMS '
dw 4511 ; 0x119F
db 'HAMAMATSU PHOTONICS K.K. '
dw 4513 ; 0x11A1
db 'Systech Corp. '
dw 4520 ; 0x11A8
db 'InnoSys Inc. '
dw 4521 ; 0x11A9
db 'ACTEL '
dw 4522 ; 0x11AA
db 'GALILEO TECHNOLOGY LTD/Marvell Semiconductor, Inc.'
dw 4523 ; 0x11AB
db 'LITE-ON COMMUNICATIONS INC '
dw 4525 ; 0x11AD
db 'SCITEX CORPORATION '
dw 4526 ; 0x11AE
db 'AVID TECHNOLOGY INC '
dw 4527 ; 0x11AF
db 'V3 SEMICONDUCTOR INC./Quicklogic Corp '
dw 4528 ; 0x11B0
db 'EASTMAN KODAK '
dw 4530 ; 0x11B2
db 'BARR SYSTEMS INC. '
dw 4531 ; 0x11B3
db 'Radstone Technology Ltd. '
dw 4533 ; 0x11B5
db 'Xpoint Technologies Inc '
dw 4536 ; 0x11B8
db 'Pathlight Technology Inc. '
dw 4537 ; 0x11B9
_SECONDPART: ;lists 255 Vendors
db 'Network Peripherals Inc '
dw 4540 ; 0x11BC
db 'Pinnacle Systems Inc. '
dw 4541 ; 0x11BD
db 'ASTRODESIGN '
dw 4543 ; 0x11BF
db 'AGERE/LUCENT '
dw 4545 ; 0x11C1
db 'DAINIPPON SCREEN MFG. CO. LTD '
dw 4550 ; 0x11C6
db 'DOLPHIN INTERCONNECT SOLUTIONS AS '
dw 4552 ; 0x11C8
db 'MAGMA '
dw 4553 ; 0x11C9
db 'LSI SYSTEMS '
dw 4554 ; 0x11CA
db 'SPECIALIX INTERNATIONAL LTD '
dw 4555 ; 0x11CB
db 'NETACCESS/Primary Rate Inc '
dw 4558 ; 0x11CE
db 'LOCKHEED MARTIN-Electronics & Communications '
dw 4560 ; 0x11D0
db 'AuraVision Corporation '
dw 4561 ; 0x11D1
db 'INTERCOM INC. '
dw 4562 ; 0x11D2
db 'Analog Devices, Inc. '
dw 4564 ; 0x11D4
db 'IKON CORPORATION/Tahoma Technology '
dw 4565 ; 0x11D5
db 'TOSHIBA TEC CORPORATION '
dw 4569 ; 0x11D9
db 'NOVELL '
dw 4570 ; 0x11DA
db 'Sega Enterprises Ltd '
dw 4571 ; 0x11DB
db 'Zoran Corporation '
dw 4574 ; 0x11DE
db 'NEW WAVE PDG '
dw 4575 ; 0x11DF
db 'QUICKLOGIC CORPORATION '
dw 4579 ; 0x11E3
db 'CORECO INC '
dw 4588 ; 0x11EC
db 'DOME IMAGING SYSTEMS INC '
dw 4590 ; 0x11EE
db 'Compu-Shack GmbH '
dw 4592 ; 0x11F0
db 'Kinetic Systems Corporation '
dw 4596 ; 0x11F4
db 'Powermatic Data Systems Ltd '
dw 4598 ; 0x11F6
db 'PMC-SIERRA INC '
dw 4600 ; 0x11F8
db 'Comtrol Corp '
dw 4606 ; 0x11FE
db 'Network General Corp '
dw 4610 ; 0x1202
db 'AGFA CORPORATION '
dw 4611 ; 0x1203
db 'AMDAHL CORPORATION '
dw 4614 ; 0x1206
db 'Parsytec GmbH '
dw 4616 ; 0x1208
db 'Sci Systems Inc '
dw 4617 ; 0x1209
db 'Cyclades Corporation '
dw 4622 ; 0x120E
db 'ESSENTIAL COMMUNICATIONS '
dw 4623 ; 0x120F
db 'PERFORMANCE TECHNOLOGIES. '
dw 4628 ; 0x1214
db 'PURUP-EskoFot A/S '
dw 4630 ; 0x1216
db 'O2MICRO. '
dw 4631 ; 0x1217
db '3DFX INTERACTIVE '
dw 4634 ; 0x121A
db 'VIRATA LTD '
dw 4635 ; 0x121B
db 'Ariel Corporation '
dw 4640 ; 0x1220
db 'CONTEC CO. LTD '
dw 4641 ; 0x1221
db 'ARTESYN COMMUNICATIONS PRODUCTS INC '
dw 4643 ; 0x1223
db 'Interactive Images '
dw 4644 ; 0x1224
db 'TECH-SOURCE '
dw 4647 ; 0x1227
db 'SICAN GMBH '
dw 4652 ; 0x122C
db 'Aztech System Ltd '
dw 4653 ; 0x122D
db 'MARCONI COMMUNICATIONS LTD '
dw 4658 ; 0x1232
db 'Sigma Designs, Inc '
dw 4662 ; 0x1236
db 'Solitron Technologies Inc. '
dw 4684 ; 0x124C
db 'Stallion Technologies '
dw 4665 ; 0x124D
db 'Infortrend Technology Inc '
dw 4667 ; 0x124F
db 'Perceptive Solutions Inc. '
dw 4694 ; 0x1256
db 'Gilbarco Inc. '
dw 4696 ; 0x1258
db 'Asix Electronics Corp. '
dw 4699 ; 0x125B
db 'Microdyne Corp. '
dw 4710 ; 0x1266
db 'S.A. Telecommunications '
dw 4711 ; 0x1267
db 'SOLITON SYSTEMS K.K. '
dw 4961 ; 0x1361
db 'CENTURY SYSTEMS. '
dw 4668 ; 0x123C
db 'Engineering Design Team Inc. '
dw 4669 ; 0x123D
db 'C-CUBE MICROSYSTEMS '
dw 4671 ; 0x123F
db 'JAYCOR NETWORKS INC./JNI Corporation '
dw 4674 ; 0x1242
db 'AVM AUDIOVISUELLES MKTG & COMPUTER SYSTEM GMBH '
dw 4676 ; 0x1244
db 'SBS TECHNOLOGIES '
dw 4683 ; 0x124B
db 'Hitachi Microcomputer System Ltd. '
dw 4688 ; 0x1250
db 'GUZIK TECHNICAL ENTERPRISES '
dw 4691 ; 0x1253
db 'OPTIBASE LTD '
dw 4693 ; 0x1255
db 'ALLIED TELESYN INTERNATIONAL '
dw 4697 ; 0x1259
db 'AURORA TECHNOLOGIES. '
dw 4700 ; 0x125C
db 'ESS TECHNOLOGY, INC. '
dw 4701 ; 0x125D
db 'CONCURRENT TECHNOLOGIES '
dw 4703 ; 0x125F
db 'INTERSIL CORP '
dw 4704 ; 0x1260
db 'MATSUSHITA-KOTOBUKI ELECTRONICS '
dw 4705 ; 0x1261
db 'AVAL NAGASAKI CORPORATION '
dw 4708 ; 0x1264
db 'TEKTRONIX '
dw 4712 ; 0x1268
db 'Nortel Networks Corp. '
dw 4716 ; 0x126C
db 'SPLASH TECHNOLOGY. '
dw 4717 ; 0x126D
db 'SUMITOMO METAL INDUSTRIES '
dw 4718 ; 0x126E
db 'SILICON MOTION. '
dw 4719 ; 0x126F
db 'OLYMPUS OPTICAL CO. LTD. '
dw 4720 ; 0x1270
db 'CREATIVE LABS (WAS ENSONIQ, MALVERN) '
dw 4724 ; 0x1274
db 'NETWORK APPLIANCE CORPORATION '
dw 4725 ; 0x1275
db 'Transtech Parallel Systems '
dw 4728 ; 0x1278
db 'TRANSMETA CORPORATION '
dw 4729 ; 0x1279
db 'CONEXANT, ROCKWELL '
dw 4730 ; 0x127A
db 'VELA RESEARCH LP '
dw 4733 ; 0x127D
db 'FUJIFILM '
dw 4735 ; 0x127F
db 'YOKOGAWA ELECTRIC CORPORATION '
dw 4737 ; 0x1281
db 'Integrated Technology Express Inc. '
dw 4739 ; 0x1283
db 'MAZET GMBH '
dw 4742 ; 0x1286
db 'TRANSWITCH CORPORATION '
dw 4747 ; 0x128B
db 'G2 Networks Inc. '
dw 4749 ; 0x128D
db 'TATENO DENNOU. '
dw 4751 ; 0x128F
db 'TOSHIBA PERSONAL COMPUTER SYSTEM CORP. '
dw 4752 ; 0x1290
db 'NCS COMPUTER ITALIA SRL '
dw 4753 ; 0x1291
db 'TRITECH MICROELECTRONICS INC '
dw 4754 ; 0x1292
db 'SHUTTLE COMPUTER '
dw 4759 ; 0x1297
db 'KNOWLEDGE TECHNOLOGY LAB. '
dw 4761 ; 0x1299
db 'VMETRO Inc. '
dw 4762 ; 0x129A
db 'VICTOR COMPANY OF JAPAN '
dw 4766 ; 0x129E
db 'ALLEN- BRADLEY COMPANY '
dw 4768 ; 0x12A0
db 'Lucent Technologies AMR '
dw 4771 ; 0x12A3
db 'AMO GMBH '
dw 4775 ; 0x12A7
db 'XIOTECH CORPORATION '
dw 4777 ; 0x12A9
db 'YUAN YUAN ENTERPRISE CO. LTD. '
dw 4779 ; 0x12AB
db 'Alteon Networks Inc. '
dw 4782 ; 0x12AE
db 'NATURAL MICROSYSTEMS '
dw 4790 ; 0x12B6
db 'COGNEX MODULAR VISION SYSTEMS DIV.-ACUMEN INC. '
dw 4791 ; 0x12B7
db '3Com Corp, Modem Division '
dw 4793 ; 0x12B9
db 'ARRAY MICROSYSTEMS '
dw 4796 ; 0x12BC
db 'ANCHOR CHIPS INC. '
dw 4798 ; 0x12BE
db 'Fujifilm Microdevices '
dw 4799 ; 0x12BF
db 'INFIMED '
dw 4800 ; 0x12C0
db 'Holtek Microelectronics Inc. '
dw 4803 ; 0x12C3
db 'Connect Tech Inc '
dw 4804 ; 0x12C4
db 'Mitan Corporation '
dw 4806 ; 0x12C6
db 'Dialogic Corp '
dw 4807 ; 0x12C7
db 'Integrated Computing Engines '
dw 4810 ; 0x12CA
db 'Aims Lab '
dw 4813 ; 0x12CD
db 'NVIDIA (WAS: STB,SGS THOMPSON) '
dw 4818 ; 0x12D2
db 'GE VINGMED ULTRASOUND AS '
dw 4819 ; 0x12D3
db 'COMVERSE NETWORKS SYSTEM & Ulticom, Inc. '
dw 4820 ; 0x12D4
db 'Equator Technologies '
dw 4821 ; 0x12D5
db 'Analogic Corp '
dw 4822 ; 0x12D6
db 'PERICOM SEMICONDUCTOR '
dw 4824 ; 0x12D8
db 'Aculab PLC '
dw 4825 ; 0x12D9
db 'True Time Inc. '
dw 4826 ; 0x12DA
db 'Rainbow Technologies '
dw 4830 ; 0x12DE
db 'SBS Technologies Inc '
dw 4831 ; 0x12DF
db 'Chase Research PLC '
dw 4832 ; 0x12E0
db 'Datum Inc. Bancomm-Timing Division '
dw 4834 ; 0x12E2
db 'Brooktrout Technology Inc '
dw 4836 ; 0x12E4
db 'Sebring Systems '
dw 4839 ; 0x12E7
db 'Real Vision '
dw 4842 ; 0x12EA
db 'Aureal Semiconductor '
dw 4843 ; 0x12EB
db '3A '
dw 4844 ; 0x12EC
db 'PENTEK '
dw 4848 ; 0x12F0
db 'COGNEX INC. '
dw 4855 ; 0x12F7
db 'Spectrum Signal Processing '
dw 4859 ; 0x12FB
db 'CAPITAL EQUIPMENT CORP '
dw 4860 ; 0x12FC
db 'ESD Electronic System Design GmbH '
dw 4862 ; 0x12FE
db 'Juniper Networks Inc. '
dw 4868 ; 0x1304
db 'Computer Boards '
dw 4871 ; 0x1307
db 'LEVEL ONE COMMUNICATIONS/Jato Technologies Inc. '
dw 4872 ; 0x1308
db 'Mitsubishi Electric MicroComputer '
dw 4874 ; 0x130A
db 'Colorgraphic Communications Corp '
dw 4875 ; 0x130B
db 'Advanet Inc '
dw 4879 ; 0x130F
db 'GESPAC '
dw 4880 ; 0x1310
db 'YASKAWA ELECTRIC CO. '
dw 4883 ; 0x1313
db 'TERADYNE INC. '
dw 4886 ; 0x1316
db 'ADMTEK INC '
dw 4887 ; 0x1317
db 'Packet Engines Inc. '
dw 4888 ; 0x1318
db 'ForteMedia '
dw 4889 ; 0x1319
db 'SIIG Inc '
dw 4895 ; 0x131F
db 'SALIX TECHNOLOGIES INC '
dw 4901 ; 0x1325
db 'SeaChange International '
dw 4902 ; 0x1326
db 'RadiSys Corp. '
dw 4913 ; 0x1331
db 'PRISA NETWORKS '
dw 4925 ; 0x133D
db 'SCM MICROSYSTEMS '
dw 4927 ; 0x133F
db 'PROMAX SYSTEMS INC '
dw 4930 ; 0x1342
db 'MICRON TECHNOLOGY INC '
dw 4932 ; 0x1344
db 'ARK RESEARCH CORP. '
dw 4939 ; 0x134B
db 'CHORI JOHO SYSTEM CO. LTD '
dw 4940 ; 0x134C
db 'PC-TEL INC '
dw 4941 ; 0x134D
db 'BRAIN BOXES LIMITED '
dw 4954 ; 0x135A
db 'QUATECH INC '
dw 4956 ; 0x135C
db 'SEALEVEL SYSTEMS INC '
dw 4958 ; 0x135E
db 'I-DATA INTERNATIONAL A-S '
dw 4959 ; 0x135F
db 'MEINBERG FUNKUHREN '
dw 4960 ; 0x1360
db 'PHOENIX TECHNOLOGIES LTD '
dw 4963 ; 0x1363
db 'HITACHI ZOSEN CORPORATION '
dw 4967 ; 0x1367
db 'SKYWARE CORPORATION '
dw 4968 ; 0x1368
db 'DIGIGRAM '
dw 4969 ; 0x1369
db 'KAWASAKI STEEL CORPORATION '
dw 4971 ; 0x136B
db 'ADTEK SYSTEM SCIENCE CO LTD '
dw 4972 ; 0x136C
db 'BOEING-SUNNYVALE '
dw 4981 ; 0x1375
db 'ELECTRONIC EQUIPMENT PRODUCTION & DISTRIBUTION '
dw 4983 ; 0x1377
db 'MARK OF THE UNICORN INC '
dw 4986 ; 0x137A
db 'PPT VISION '
dw 4987 ; 0x137B
db 'IWATSU ELECTRIC CO LTD '
dw 4988 ; 0x137C
db 'DYNACHIP CORPORATION '
dw 4989 ; 0x137D
db 'SANRITZ AUTOMATION CO LTC '
dw 4992 ; 0x1380
db 'BRAINS CO. LTD '
dw 4993 ; 0x1381
db 'CONTROLNET INC '
dw 4995 ; 0x1383
db 'STELLAR SEMICONDUCTOR INC '
dw 4996 ; 0x1384
db 'NETGEAR '
dw 4997 ; 0x1385
db 'SYSTRAN CORP '
dw 4999 ; 0x1387
db 'HITACHI INFORMATION TECHNOLOGY CO LTD '
dw 5000 ; 0x1388
db 'APPLICOM INTERNATIONAL '
dw 5001 ; 0x1389
db 'SITERA '
dw 5002 ; 0x138A
db 'TOKIMEC INC '
dw 5003 ; 0x138B
db 'BASLER GMBH '
dw 5006 ; 0x138E
db 'PATAPSCO DESIGNS INC '
dw 5007 ; 0x138F
db 'MOXA TECHNOLOGIES CO LTD '
dw 5011 ; 0x1393
db 'LEVEL ONE COMMUNICATIONS '
dw 5012 ; 0x1394
db 'AMBICOM INC '
dw 5013 ; 0x1395
db 'CIPHER SYSTEMS INC '
dw 5014 ; 0x1396
db 'COLOGNE CHIP DESIGNS GMBH '
dw 5015 ; 0x1397
db 'CLARION CO. LTD '
dw 5016 ; 0x1398
db 'RIOS SYSTEMS CO LTD '
dw 5017 ; 0x1399
db 'ALACRITECH INC '
dw 5018 ; 0x139A
db 'QUANTUM 3D INC '
dw 5020 ; 0x139C
db 'XSTREAMS PLC/ EPL LIMITED '
dw 5021 ; 0x139D
db 'ECHOSTAR DATA NETWORKS '
dw 5022 ; 0x139E
db 'AETHRA S.R.L. '
dw 5023 ; 0x139F
db 'CRYSTAL GROUP INC '
dw 5024 ; 0x13A0
db 'KAWASAKI HEAVY INDUSTRIES LTD '
dw 5025 ; 0x13A1
db 'OSITECH COMMUNICATIONS INC '
dw 5026 ; 0x13A2
db 'RASCOM INC '
dw 5028 ; 0x13A4
db 'TELES AG '
dw 5031 ; 0x13A7
db 'EXAR CORP. '
dw 5032 ; 0x13A8
db 'SIEMENS MEDICAL SYSTEMS '
dw 5033 ; 0x13A9
db 'NORTEL NETWORKS-BWA DIVISION '
dw 5034 ; 0x13AA
db 'T.SQWARE '
dw 5039 ; 0x13AF
db 'TAMURA CORPORATION '
dw 5041 ; 0x13B1
db 'WELLBEAN CO INC '
dw 5044 ; 0x13B4
db 'ARM Ltd '
dw 5045 ; 0x13B5
db 'DLoG GMBH '
dw 5046 ; 0x13B6
db 'NOKIA TELECOMMUNICATIONS OY '
dw 5048 ; 0x13B8
db 'SHARP CORPORATION '
dw 5053 ; 0x13BD
db 'SHAREWAVE INC '
dw 5055 ; 0x13BF
db '3ware Inc. '
dw 5057 ; 0x13C1
db 'TECHNOTREND SYSTEMTECHNIK GMBH '
dw 5058 ; 0x13C2
db 'JANZ COMPUTER AG '
dw 5059 ; 0x13C3
db 'CONDOR ENGINEERING INC '
dw 5062 ; 0x13C6
db 'BLUE CHIP TECHNOLOGY LTD '
dw 5063 ; 0x13C7
db 'IOMEGA CORPORATION '
dw 5066 ; 0x13CA
db 'METHEUS CORPORATION '
dw 5068 ; 0x13CC
db 'STUDIO AUDIO & VIDEO LTD '
dw 5071 ; 0x13CF
db 'B2C2 '
dw 5072 ; 0x13D0
db 'ABOCOM SYSTEMS '
dw 5073 ; 0x13D1
db 'SHARK MULTIMEDIA INC '
dw 5074 ; 0x13D2
db 'IMC NETWORKS '
dw 5075 ; 0x13D3
db 'GRAPHICS MICROSYSTEMS INC '
dw 5076 ; 0x13D4
db 'K.I. TECHNOLOGY CO LTD '
dw 5078 ; 0x13D6
db 'TOSHIBA ENGINEERING CORPORATION '
dw 5079 ; 0x13D7
db 'PHOBOS CORPORATION '
dw 5080 ; 0x13D8
db 'APEX INC '
dw 5081 ; 0x13D9
db 'NETBOOST CORPORATION '
dw 5084 ; 0x13DC
db 'ABB ROBOTICS PRODUCTS '
dw 5086 ; 0x13DE
db 'E-TECH INC '
dw 5087 ; 0x13DF
db 'GVC CORPORATION '
dw 5088 ; 0x13E0
db 'NEST INC '
dw 5091 ; 0x13E3
db 'CALCULEX INC '
dw 5092 ; 0x13E4
db 'TELESOFT DESIGN LTD '
dw 5093 ; 0x13E5
db 'INTRASERVER TECHNOLOGY INC '
dw 5097 ; 0x13E9
db 'DALLAS SEMICONDUCTOR '
dw 5098 ; 0x13EA
db 'SUNDANCE TECHNOLOGY INC '
dw 5104 ; 0x13F0
db 'OCE-TECHNOLOGIES B.V. '
dw 5105 ; 0x13F1
db 'FORD MICROELECTRONICS INC '
dw 5106 ; 0x13F2
db 'TROIKA NETWORKS INC '
dw 5108 ; 0x13F4
db 'C-MEDIA ELECTRONICS INC '
dw 5110 ; 0x13F6
db 'NTT ADVANCED TECHNOLOGY CORP. '
dw 5113 ; 0x13F9
db 'AYDIN CORP '
dw 5115 ; 0x13FB
db 'MICRO SCIENCE INC '
dw 5117 ; 0x13FD
_THIRDPART: ;lists 255 vendors
db 'ARTX INC '
dw 5120 ; 0x1400
db 'Meilhaus Electronic GmbH Germany '
dw 5122 ; 0x1402
db 'FUNDAMENTAL SOFTWARE INC '
dw 5124 ; 0x1404
db 'OCE PRINTING SYSTEMS GmbH '
dw 5126 ; 0x1406
db 'LAVA COMPUTER MFG INC '
dw 5127 ; 0x1407
db 'ALOKA CO. LTD '
dw 5128 ; 0x1408
db 'DSP RESEARCH INC '
dw 5130 ; 0x140A
db 'RAMIX INC '
dw 5131 ; 0x140B
db 'MATSUSHITA ELECTRIC WORKS LTD '
dw 5133 ; 0x140D
db 'ADDONICS '
dw 5139 ; 0x1413
db 'OXFORD SEMICONDUCTOR LTD '
dw 5141 ; 0x1415
db 'KYUSHU ELECTRONICS SYSTEMS INC '
dw 5144 ; 0x1418
db 'EXCEL SWITCHING CORP '
dw 5145 ; 0x1419
db 'ZOOM TELEPHONICS INC '
dw 5147 ; 0x141B
db 'FANUC LTD '
dw 5150 ; 0x141E
db 'PSION DACOM PLC '
dw 5152 ; 0x1420
db 'EDEC CO LTD '
dw 5160 ; 0x1428
db 'UNEX TECHNOLOGY CORP '
dw 5161 ; 0x1429
db 'KINGMAX TECHNOLOGY INC '
dw 5162 ; 0x142A
db 'RADIOLAN '
dw 5163 ; 0x142B
db 'MINTON OPTIC INDUSTRY CO LTD '
dw 5164 ; 0x142C
db 'PIXSTREAM INC '
dw 5165 ; 0x142D
db 'ITT AEROSPACE/COMMUNICATIONS DIVISION '
dw 5168 ; 0x1430
db 'ELTEC ELEKTRONIK GMBH '
dw 5171 ; 0x1433
db 'CIS TECHNOLOGY INC '
dw 5174 ; 0x1436
db 'NISSIN INC CO '
dw 5175 ; 0x1437
db 'ATMEL-DREAM '
dw 5176 ; 0x1438
db 'LIGHTWELL CO LTD-ZAX DIVISION '
dw 5183 ; 0x143F
db 'AGIE SA '
dw 5185 ; 0x1441
db 'LOGICAL CO LTD '
dw 5189 ; 0x1445
db 'GRAPHIN CO. LTD '
dw 5190 ; 0x1446
db 'AIM GMBH '
dw 5191 ; 0x1447
db 'ADLINK Technology Inc '
dw 5194 ; 0x144A
db 'LORONIX INFORMATION SYSTEMS INC '
dw 5195 ; 0x144B
db 'SAMSUNG ELECTRONICS CO LTD '
dw 5197 ; 0x144D
db 'OCTAVE COMMUNICATIONS IND. '
dw 5200 ; 0x1450
db 'SP3D CHIP DESIGN GMBH '
dw 5201 ; 0x1451
db 'MYCOM INC '
dw 5203 ; 0x1453
db 'LOGIC PLUS PLUS INC '
dw 5205 ; 0x1455
db 'GIGA-BYTE TECHNOLOGY '
dw 5208 ; 0x1458
db 'CRYPTEK '
dw 5212 ; 0x145C
db 'BALDOR ELECTRIC COMPANY '
dw 5215 ; 0x145F
db 'DYNARC INC '
dw 5216 ; 0x1460
db 'AVERMEDIA Tech. '
dw 5217 ; 0x1461
db 'MICRO-STAR INTERNATIONAL CO LTD '
dw 5218 ; 0x1462
db 'FAST CORPORATION '
dw 5219 ; 0x1463
db 'INTERACTIVE CIRCUITS & SYSTEMS LTD '
dw 5220 ; 0x1464
db 'GN NETTEST TELECOM DIV. '
dw 5221 ; 0x1465
db 'AMBIT MICROSYSTEMS CORP. '
dw 5224 ; 0x1468
db 'CLEVELAND MOTION CONTROLS '
dw 5225 ; 0x1469
db 'RUBY TECH CORP. '
dw 5228 ; 0x146C
db 'TACHYON '
dw 5229 ; 0x146D
db 'WILLIAMS ELECTRONICS GAMES. '
dw 5230 ; 0x146E
db 'INTEGRATED TELECOM EXPRESS INC '
dw 5233 ; 0x1471
db 'ZAPEX TECHNOLOGIES INC '
dw 5235 ; 0x1473
db 'DOUG CARSON & ASSOCIATES '
dw 5236 ; 0x1474
db 'NET INSIGHT '
dw 5239 ; 0x1477
db 'DIATREND CORPORATION '
dw 5240 ; 0x1478
db 'ABIT Computer '
dw 5243 ; 0x147B
db 'NIHON UNISYS '
dw 5247 ; 0x147F
db 'ISYTEC-Integrierte Systemtechnik Gmbh '
dw 5250 ; 0x1482
db 'LABWAY COPORATION '
dw 5251 ; 0x1483
db 'ERMA-ELECTRONIC GMBH '
dw 5253 ; 0x1485
db 'KYE SYSTEMS CORPORATION '
dw 5257 ; 0x1489
db 'OPTO 22 '
dw 5258 ; 0x148A
db 'INNOMEDIALOGIC INC. '
dw 5259 ; 0x148B
db 'OSI PLUS CORPORATION '
dw 5262 ; 0x148E
db 'PLANT EQUIPMENT. '
dw 5263 ; 0x148F
db 'TC LABS PTY LTD. '
dw 5264 ; 0x1490
db 'MAKER COMMUNICATIONS '
dw 5267 ; 0x1493
db 'TOKAI COMMUNICATIONS INDUSTRY CO. LTD '
dw 5269 ; 0x1495
db 'JOYTECH COMPUTER CO. LTD. '
dw 5270 ; 0x1496
db 'SMA REGELSYSTEME GMBH '
dw 5271 ; 0x1497
db 'EMTEC CO. LTD '
dw 5273 ; 0x1499
db 'ANDOR TECHNOLOGY LTD '
dw 5274 ; 0x149A
db 'SEIKO INSTRUMENTS INC '
dw 5275 ; 0x149B
db 'OVISLINK CORP. '
dw 5276 ; 0x149C
db 'NEWTEK INC '
dw 5277 ; 0x149D
db 'MAPLETREE NETWORKS INC. '
dw 5278 ; 0x149E
db 'LECTRON CO LTD '
dw 5279 ; 0x149F
db 'SOFTING GMBH '
dw 5280 ; 0x14A0
db 'SYSTEMBASE CO LTD '
dw 5281 ; 0x14A1
db 'MILLENNIUM ENGINEERING INC '
dw 5282 ; 0x14A2
db 'MAVERICK NETWORKS '
dw 5283 ; 0x14A3
db 'GVC/BCM ADVANCED RESEARCH '
dw 5284 ; 0x14A4
db 'XIONICS DOCUMENT TECHNOLOGIES INC. '
dw 5285 ; 0x14A5
db 'INOVA COMPUTERS GMBH & CO KG '
dw 5286 ; 0x14A6
db 'FEATRON TECHNOLOGIES CORPORATION '
dw 5288 ; 0x14A8
db 'HIVERTEC INC. '
dw 5289 ; 0x14A9
db 'MENTOR GRAPHICS CORP. '
dw 5291 ; 0x14AB
db 'NOVAWEB TECHNOLOGIES INC '
dw 5292 ; 0x14AC
db 'TIME SPACE RADIO AB '
dw 5293 ; 0x14AD
db 'CTI PET Systems '
dw 5294 ; 0x14AE
db 'GUILLEMOT CORPORATION '
dw 5295 ; 0x14AF
db 'BST COMMUNICATION TECHNOLOGY LTD '
dw 5296 ; 0x14B0
db 'NEXTCOM K.K. '
dw 5297 ; 0x14B1
db 'ENNOVATE NETWORKS INC '
dw 5298 ; 0x14B2
db 'XPEED INC. '
dw 5299 ; 0x14B3
db 'PHILIPS BUSINESS ELECTRONICS B.V. '
dw 5300 ; 0x14B4
db 'CREAMWARE GMBH '
dw 5301 ; 0x14B5
db 'QUANTUM DATA CORP. '
dw 5302 ; 0x14B6
db 'PROXIM INC '
dw 5303 ; 0x14B7
db 'TECHSOFT TECHNOLOGY CO LTD '
dw 5304 ; 0x14B8
db 'AIRONET WIRELESS COMMUNICATIONS '
dw 5305 ; 0x14B9
db 'INTERNIX INC. '
dw 5306 ; 0x14BA
db 'SEMTECH CORPORATION '
dw 5307 ; 0x14BB
db 'GLOBESPAN SEMICONDUCTOR INC. '
dw 5308 ; 0x14BC
db 'CARDIO CONTROL N.V. '
dw 5309 ; 0x14BD
db 'L3 COMMUNICATIONS '
dw 5310 ; 0x14BE
db 'SPIDER COMMUNICATIONS INC. '
dw 5311 ; 0x14BF
db 'COMPAL ELECTRONICS INC '
dw 5312 ; 0x14C0
db 'MYRICOM INC. '
dw 5313 ; 0x14C1
db 'DTK COMPUTER '
dw 5314 ; 0x14C2
db 'MEDIATEK CORP. '
dw 5315 ; 0x14C3
db 'IWASAKI INFORMATION SYSTEMS CO LTD '
dw 5316 ; 0x14C4
db 'ABB AUTOMATION PRODUCTS '
dw 5317 ; 0x14C5
db 'DATA RACE INC '
dw 5318 ; 0x14C6
db 'MODULAR TECHNOLOY HOLDINGS LTD '
dw 5319 ; 0x14C7
db 'TURBOCOMM TECH. INC. '
dw 5320 ; 0x14C8
db 'ODIN TELESYSTEMS INC '
dw 5321 ; 0x14C9
db 'PE LOGIC CORP. '
dw 5322 ; 0x14CA
db 'Billionton Systems Inc./Cadmus Micro Inc. '
dw 5323 ; 0x14CB
db 'NAKAYO TELECOMMUNICATIONS INC '
dw 5324 ; 0x14CC
db 'UNIVERSAL SCIENTIFIC IND '
dw 5325 ; 0x14CD
db 'WHISTLE COMMUNICATIONS '
dw 5326 ; 0x14CE
db 'TEK MICROSYSTEMS INC. '
dw 5327 ; 0x14CF
db 'ERICSSON AXE R & D '
dw 5328 ; 0x14D0
db 'COMPUTER HI-TECH CO LTD '
dw 5329 ; 0x14D1
db 'TITAN ELECTRONICS INC '
dw 5330 ; 0x14D2
db 'CIRTECH (UK) LTD '
dw 5331 ; 0x14D3
db 'PANACOM TECHNOLOGY CORP '
dw 5332 ; 0x14D4
db 'NITSUKO CORPORATION '
dw 5333 ; 0x14D5
db 'ACCUSYS '
dw 5334 ; 0x14D6
db 'HIRAKAWA HEWTECH CORP '
dw 5335 ; 0x14D7
db 'HOPF ELEKTRONIK GMBH '
dw 5336 ; 0x14D8
db 'ALPHA PROCESSOR INC '
dw 5337 ; 0x14D9
db 'NATIONAL AEROSPACE LABORATORIES '
dw 5338 ; 0x14DA
db 'AVLAB TECHNOLOGY INC '
dw 5339 ; 0x14DB
db 'AMPLICON LIVELINE LTD '
dw 5340 ; 0x14DC
db 'IMODL INC. '
dw 5341 ; 0x14DD
db 'APPLIED INTEGRATION CORPORATION '
dw 5342 ; 0x14DE
db 'BASIS COMMUNICATIONS CORP '
dw 5343 ; 0x14DF
db 'INVERTEX '
dw 5345 ; 0x14E1
db 'INFOLIBRIA '
dw 5346 ; 0x14E2
db 'AMTELCO '
dw 5347 ; 0x14E3
db 'BROADCOM CORPORATION '
dw 5348 ; 0x14E4
db 'PIXELFUSION LTD '
dw 5349 ; 0x14E5
db 'SHINING TECHNOLOGY INC '
dw 5350 ; 0x14E6
db '3CX '
dw 5351 ; 0x14E7
db 'RAYCER INC '
dw 5352 ; 0x14E8
db 'GARNETS SYSTEM CO LTD '
dw 5353 ; 0x14E9
db 'PLANEX COMMUNICATIONS INC '
dw 5354 ; 0x14EA
db 'SEIKO EPSON CORPORATION '
dw 5355 ; 0x14EB
db 'ACQIRIS '
dw 5356 ; 0x14EC
db 'DATAKINETICS LTD '
dw 5357 ; 0x14ED
db 'MASPRO KENKOH CORP '
dw 5358 ; 0x14EE
db 'CARRY COMPUTER ENG. CO LTD '
dw 5359 ; 0x14EF
db 'CANON RESEACH CENTRE FRANCE '
dw 5360 ; 0x14F0
db 'Conexant Systems, Inc '
dw 5361 ; 0x14F1
db 'Mobility Electronics, Inc. '
dw 5362 ; 0x14F2
db 'BROADLOGIC '
dw 5363 ; 0x14F3
db 'TOKYO ELECTRONIC INDUSTRY CO LTD '
dw 5364 ; 0x14F4
db 'SOPAC LTD '
dw 5365 ; 0x14F5
db 'COYOTE TECHNOLOGIES LLC '
dw 5366 ; 0x14F6
db 'WOLF TECHNOLOGY INC '
dw 5367 ; 0x14F7
db 'AUDIOCODES INC '
dw 5368 ; 0x14F8
db 'AG COMMUNICATIONS '
dw 5369 ; 0x14F9
db 'WAVETEK WANDEL & GOLTERMANN '
dw 5370 ; 0x14FA
db 'TRANSAS MARINE (UK) LTD '
dw 5371 ; 0x14FB
db 'QUADRICS SUPERCOMPUTERS WORLD '
dw 5372 ; 0x14FC
db 'JAPAN COMPUTER INDUSTRY INC. '
dw 5373 ; 0x14FD
db 'ARCHTEK TELECOM CORP. '
dw 5374 ; 0x14FE
db 'TWINHEAD INTERNATIONAL CORP '
dw 5375 ; 0x14FF
db 'LANTECH COMPUTER COMPANY '
dw 5376 ; 0x1500
db 'BANKSOFT CANADA LTD '
dw 5377 ; 0x1501
db 'MITSUBISHI ELECTRIC LOGISTICS SUPPORT CO '
dw 5378 ; 0x1502
db 'KAWASAKI LSI USA INC '
dw 5379 ; 0x1503
db 'KAISER ELECTRONICS '
dw 5380 ; 0x1504
db 'ITA INGENIEURBURO FUR TESTAUFGABEN GMBH '
dw 5381 ; 0x1505
db 'CHAMELEON SYSTEMS INC '
dw 5382 ; 0x1506
db 'HTEC LTD '
dw 5383 ; 0x1507
db 'HONDA CONNECTORS/MHOTRONICS INC '
dw 5384 ; 0x1508
db 'FIRST INTERNATIONAL COMPUTER INC '
dw 5385 ; 0x1509
db 'FORVUS RESEARCH INC '
dw 5386 ; 0x150A
db 'YAMASHITA SYSTEMS CORP '
dw 5387 ; 0x150B
db 'KYOPAL CO LTD '
dw 5388 ; 0x150C
db 'WARPSPPED INC '
dw 5389 ; 0x150D
db 'C-PORT CORPORATION '
dw 5390 ; 0x150E
db 'INTEC GMBH '
dw 5391 ; 0x150F
db 'BEHAVIOR TECH COMPUTER CORP '
dw 5392 ; 0x1510
db 'CENTILLIUM TECHNOLOGY CORP '
dw 5393 ; 0x1511
db 'ROSUN TECHNOLOGIES INC '
dw 5394 ; 0x1512
db 'RAYCHEM '
dw 5395 ; 0x1513
db 'TFL LAN INC '
dw 5396 ; 0x1514
db 'ICS ADVENT '
dw 5397 ; 0x1515
db 'MYSON TECHNOLOGY INC '
dw 5398 ; 0x1516
db 'ECHOTEK CORPORATION '
dw 5399 ; 0x1517
db 'PEP MODULAR COMPUTERS GMBH '
dw 5400 ; 0x1518
db 'TELEFON AKTIEBOLAGET LM Ericsson '
dw 5401 ; 0x1519
db 'GLOBETEK INC '
dw 5402 ; 0x151A
db 'COMBOX LTD '
dw 5403 ; 0x151B
db 'DIGITAL AUDIO LABS INC '
dw 5404 ; 0x151C
db 'FUJITSU COMPUTER PRODUCTS OF AMERICA '
dw 5405 ; 0x151D
db 'MATRIX CORP. '
dw 5406 ; 0x151E
db 'TOPIC SEMICONDUCTOR CORP '
dw 5407 ; 0x151F
db 'CHAPLET SYSTEM INC '
dw 5408 ; 0x1520
db 'BELL CORPORATION '
dw 5409 ; 0x1521
db 'MAINPINE LIMITED '
dw 5410 ; 0x1522
db 'MUSIC SEMICONDUCTORS '
dw 5411 ; 0x1523
db 'ENE TECHNOLOGY INC '
dw 5412 ; 0x1524
db 'IMPACT TECHNOLOGIES '
dw 5413 ; 0x1525
db 'ISS '
dw 5414 ; 0x1526
db 'SOLECTRON '
dw 5415 ; 0x1527
db 'ACKSYS '
dw 5416 ; 0x1528
db 'AMERICAN MICROSYSTEMS INC '
dw 5417 ; 0x1529
db 'QUICKTURN DESIGN SYSTEMS '
dw 5418 ; 0x152A
db 'FLYTECH TECHNOLOGY CO LTD '
dw 5419 ; 0x152B
db 'MACRAIGOR SYSTEMS LLC '
dw 5420 ; 0x152C
db 'QUANTA COMPUTER INC '
dw 5421 ; 0x152D
db 'MELEC INC '
dw 5422 ; 0x152E
db 'PHILIPS-CRYPTO '
dw 5423 ; 0x152F
db 'ACQIS TECHNOLOGY '
dw 5424 ; 0x1530
db 'CHRYON CORP. '
dw 5425 ; 0x1531
db 'ECHELON CORPORATION '
dw 5426 ; 0x1532
db 'BALTIMORE '
dw 5427 ; 0x1533
db 'ROAD CORPORATION '
dw 5428 ; 0x1534
db 'EVERGREEN TECHNOLOGIES INC '
dw 5429 ; 0x1535
db 'DATALEX COMMUNCATIONS '
dw 5431 ; 0x1537
db 'ARALION INC. '
dw 5432 ; 0x1538
db 'ATELIER INFORMATIQUES et ELECTRONIQUE ETUDES S.A. '
dw 5433 ; 0x1539
db 'ONO SOKKI '
dw 5434 ; 0x153A
db 'TERRATEC ELECTRONIC GMBH '
dw 5435 ; 0x153B
db 'ANTAL ELECTRONIC '
dw 5436 ; 0x153C
db 'FILANET CORPORATION '
dw 5437 ; 0x153D
db 'TECHWELL INC '
dw 5438 ; 0x153E
db 'MIPS DENMARK '
dw 5439 ; 0x153F
db 'PROVIDEO MULTIMEDIA CO LTD '
dw 5440 ; 0x1540
db 'TELOSITY INC. '
dw 5441 ; 0x1541
db 'VIVID TECHNOLOGY INC '
dw 5442 ; 0x1542
db 'SILICON LABORATORIES '
dw 5443 ; 0x1543
db 'DCM DATA SYSTEMS '
dw 5444 ; 0x1544
db 'VISIONTEK '
dw 5445 ; 0x1545
db 'IOI TECHNOLOGY CORP. '
dw 5446 ; 0x1546
db 'MITUTOYO CORPORATION '
dw 5447 ; 0x1547
db 'JET PROPULSION LABORATORY '
dw 5448 ; 0x1548
db 'INTERCONNECT SYSTEMS SOLUTIONS '
dw 5449 ; 0x1549
db 'MAX TECHNOLOGIES INC. '
dw 5450 ; 0x154A
db 'COMPUTEX CO LTD '
dw 5451 ; 0x154B
db 'VISUAL TECHNOLOGY INC. '
dw 5452 ; 0x154C
db 'PAN INTERNATIONAL INDUSTRIAL CORP '
dw 5453 ; 0x154D
db 'SERVOTEST LTD '
dw 5454 ; 0x154E
db 'STRATABEAM TECHNOLOGY '
dw 5455 ; 0x154F
db 'OPEN NETWORK CO LTD '
dw 5456 ; 0x1550
db 'SMART ELECTRONIC DEVELOPMENT GMBH '
dw 5457 ; 0x1551
db 'RACAL AIRTECH LTD '
dw 5458 ; 0x1552
_FOURTHPART: ;lists 222 vendors
db 'CHICONY ELECTRONICS CO LTD '
dw 5459 ; 0x1553
db 'PROLINK MICROSYSTEMS CORP. '
dw 5460 ; 0x1554
db 'GESYTEC GMBH '
dw 5461 ; 0x1555
db 'PLD APPLICATIONS '
dw 5462 ; 0x1556
db 'MEDIASTAR CO. LTD '
dw 5463 ; 0x1557
db 'CLEVO/KAPOK COMPUTER '
dw 5464 ; 0x1558
db 'SI LOGIC LTD '
dw 5465 ; 0x1559
db 'INNOMEDIA INC '
dw 5466 ; 0x155A
db 'PROTAC INTERNATIONAL CORP '
dw 5467 ; 0x155B
db 'CEMAX-ICON INC '
dw 5468 ; 0x155C
db 'MAC SYSTEM CO LTD '
dw 5469 ; 0x155D
db 'LP ELEKTRONIK GMBH/KUKA Controls GmbH '
dw 5470 ; 0x155E
db 'PERLE SYSTEMS LIMITED '
dw 5471 ; 0x155F
db 'TERAYON COMMUNICATIONS SYSTEMS '
dw 5472 ; 0x1560
db 'VIEWGRAPHICS INC '
dw 5473 ; 0x1561
db 'Symbol Technologies, Inc. '
dw 5474 ; 0x1562
db 'A-TREND '
dw 5475 ; 0x1563
db 'YAMAKATSU ELECTRONICS INDUSTRY CO LTD '
dw 5476 ; 0x1564
db 'BIOSTAR MICROTECH INT CORP '
dw 5477 ; 0x1565
db 'ARDENT TECHNOLOGIES INC '
dw 5478 ; 0x1566
db 'JUNGSOFT '
dw 5479 ; 0x1567
db 'DDK ELECTRONICS INC '
dw 5480 ; 0x1568
db 'PALIT MICROSYSTEMS INC '
dw 5481 ; 0x1569
db 'AVTEC SYSTEMS '
dw 5482 ; 0x156A
db '2WIRE '
dw 5483 ; 0x156B
db 'VIDAC ELECTRONICS GMBH '
dw 5484 ; 0x156C
db 'ALPHA-TOP CORP '
dw 5485 ; 0x156D
db 'ALFA INC '
dw 5486 ; 0x156E
db 'M-SYSTEMS FLASH DISK PIONEERS LTD '
dw 5487 ; 0x156F
db 'LECROY CORPORATION '
dw 5488 ; 0x1570
db 'CONTEMPORARY CONTROLS '
dw 5489 ; 0x1571
db 'OTIS ELEVATOR COMPANY '
dw 5490 ; 0x1572
db 'LATTICE-VANTIS '
dw 5491 ; 0x1573
db 'FAIRCHILD SEMICONDUCTOR '
dw 5492 ; 0x1574
db 'VOLTAIRE ADVANCED DATA SECURITY LTD '
dw 5493 ; 0x1575
db 'VIEWCAST COM '
dw 5494 ; 0x1576
db 'HITT '
dw 5496 ; 0x1578
db 'DUAL TECHNOLOGY CORPORATION '
dw 5497 ; 0x1579
db 'JAPAN ELECRONICS IND. INC '
dw 5498 ; 0x157A
db 'STAR MULTIMEDIA CORP. '
dw 5499 ; 0x157B
db 'EUROSOFT (UK) LTD '
dw 5500 ; 0x157C
db 'GEMFLEX NETWORKS '
dw 5501 ; 0x157D
db 'TRANSITION NETWORKS '
dw 5502 ; 0x157E
db 'PX INSTRUMENTS TECHNOLOGY LTD '
dw 5503 ; 0x157F
db 'PRIMEX AEROSPACE CO. '
dw 5504 ; 0x1580
db 'SEH COMPUTERTECHNIK GMBH '
dw 5505 ; 0x1581
db 'CYTEC CORPORATION '
dw 5506 ; 0x1582
db 'INET TECHNOLOGIES INC '
dw 5507 ; 0x1583
db 'UNIWILL COMPUTER CORP '
dw 5508 ; 0x1584
db 'LOGITRON '
dw 5509 ; 0x1585
db 'LANCAST INC '
dw 5510 ; 0x1586
db 'KONICA CORPORATION '
dw 5511 ; 0x1587
db 'SOLIDUM SYSTEMS CORP '
dw 5512 ; 0x1588
db 'ATLANTEK MICROSYSTEMS PTY LTD '
dw 5513 ; 0x1589
db 'DIGALOG SYSTEMS INC '
dw 5514 ; 0x158A
db 'ALLIED DATA TECHNOLOGIES '
dw 5515 ; 0x158B
db 'HITACHI SEMICONDUCTOR & DEVICES SALES CO LTD '
dw 5516 ; 0x158C
db 'POINT MULTIMEDIA SYSTEMS '
dw 5517 ; 0x158D
db 'LARA TECHNOLOGY INC '
dw 5518 ; 0x158E
db 'DITECT COOP '
dw 5519 ; 0x158F
db '3PARDATA '
dw 5520 ; 0x1590
db 'ARN '
dw 5521 ; 0x1591
db 'SYBA TECH LIMITED '
dw 5522 ; 0x1592
db 'BOPS INC '
dw 5523 ; 0x1593
db 'NETGAME LTD '
dw 5524 ; 0x1594
db 'DIVA SYSTEMS CORP. '
dw 5525 ; 0x1595
db 'FOLSOM RESEARCH INC '
dw 5526 ; 0x1596
db 'MEMEC DESIGN SERVICES '
dw 5527 ; 0x1597
db 'GRANITE MICROSYSTEMS '
dw 5528 ; 0x1598
db 'DELTA ELECTRONICS INC '
dw 5529 ; 0x1599
db 'GENERAL INSTRUMENT '
dw 5530 ; 0x159A
db 'FARADAY TECHNOLOGY CORP '
dw 5531 ; 0x159B
db 'STRATUS COMPUTER SYSTEMS '
dw 5532 ; 0x159C
db 'NINGBO HARRISON ELECTRONICS CO LTD '
dw 5533 ; 0x159D
db 'A-MAX TECHNOLOGY '
dw 5534 ; 0x159E
db 'GALEA NETWORK SECURITY '
dw 5535 ; 0x159F
db 'COMPUMASTER SRL '
dw 5536 ; 0x15A0
db 'GEOCAST NETWORK SYSTEMS INC '
dw 5537 ; 0x15A1
db 'CATALYST ENTERPRISES INC '
dw 5538 ; 0x15A2
db 'ITALTEL '
dw 5539 ; 0x15A3
db 'X-NET OY '
dw 5540 ; 0x15A4
db 'TOYOTA MACS INC '
dw 5541 ; 0x15A5
db 'SUNLIGHT ULTRASOUND TECHNOLOGIES LTD '
dw 5542 ; 0x15A6
db 'SSE TELECOM INC '
dw 5543 ; 0x15A7
db 'SHANGHAI COMMUNICATIONS TECHNOLOGIES CENTER '
dw 5544 ; 0x15A8
db 'MORETON BAY '
dw 5546 ; 0x15AA
db 'BLUESTEEL NETWORKS INC '
dw 5547 ; 0x15AB
db 'NORTH ATLANTIC INSTRUMENTS '
dw 5548 ; 0x15AC
db 'VMware Inc. '
dw 5549 ; 0x15AD
db 'AMERSHAM PHARMACIA BIOTECH '
dw 5550 ; 0x15AE
db 'ZOLTRIX INTERNATIONAL LIMITED '
dw 5552 ; 0x15B0
db 'SOURCE TECHNOLOGY INC '
dw 5553 ; 0x15B1
db 'MOSAID TECHNOLOGIES INC. '
dw 5554 ; 0x15B2
db 'MELLANOX TECHNOLOGY '
dw 5555 ; 0x15B3
db 'CCI/TRIAD '
dw 5556 ; 0x15B4
db 'CIMETRICS INC '
dw 5557 ; 0x15B5
db 'TEXAS MEMORY SYSTEMS INC '
dw 5558 ; 0x15B6
db 'SANDISK CORP. '
dw 5559 ; 0x15B7
db 'ADDI-DATA GMBH '
dw 5560 ; 0x15B8
db 'MAESTRO DIGITAL COMMUNICATIONS '
dw 5561 ; 0x15B9
db 'IMPACCT TECHNOLOGY CORP '
dw 5562 ; 0x15BA
db 'PORTWELL INC '
dw 5563 ; 0x15BB
db 'AGILENT TECHNOLOGIES '
dw 5564 ; 0x15BC
db 'DFI INC. '
dw 5565 ; 0x15BD
db 'SOLA ELECTRONICS '
dw 5566 ; 0x15BE
db 'HIGH TECH COMPUTER CORP (HTC) '
dw 5567 ; 0x15BF
db 'BVM LIMITED '
dw 5568 ; 0x15C0
db 'QUANTEL '
dw 5569 ; 0x15C1
db 'NEWER TECHNOLOGY INC '
dw 5570 ; 0x15C2
db 'TAIWAN MYCOMP CO LTD '
dw 5571 ; 0x15C3
db 'EVSX '
dw 5572 ; 0x15C4
db 'PROCOMP INFORMATICS LTD '
dw 5573 ; 0x15C5
db 'TECHNICAL UNIVERSITY OF BUDAPEST '
dw 5574 ; 0x15C6
db 'TATEYAMA SYSTEM LABORATORY CO LTD '
dw 5575 ; 0x15C7
db 'PENTA MEDIA CO. LTD '
dw 5576 ; 0x15C8
db 'SEROME TECHNOLOGY INC '
dw 5577 ; 0x15C9
db 'BITBOYS OY '
dw 5578 ; 0x15CA
db 'AG ELECTRONICS LTD '
dw 5579 ; 0x15CB
db 'HOTRAIL INC. '
dw 5580 ; 0x15CC
db 'DREAMTECH CO LTD '
dw 5581 ; 0x15CD
db 'GENRAD INC. '
dw 5582 ; 0x15CE
db 'HILSCHER GMBH '
dw 5583 ; 0x15CF
db 'INFINEON TECHNOLOGIES AG '
dw 5585 ; 0x15D1
db 'FIC (FIRST INTERNATIONAL COMPUTER INC) '
dw 5586 ; 0x15D2
db 'NDS TECHNOLOGIES ISRAEL LTD '
dw 5587 ; 0x15D3
db 'IWILL CORPORATION '
dw 5588 ; 0x15D4
db 'TATUNG CO. '
dw 5589 ; 0x15D5
db 'ENTRIDIA CORPORATION '
dw 5590 ; 0x15D6
db 'Rockwell-Collins Inc '
dw 5591 ; 0x15D7
db 'CYBERNETICS TECHNOLOGY CO LTD '
dw 5592 ; 0x15D8
db 'SUPER MICRO COMPUTER INC '
dw 5593 ; 0x15D9
db 'CYBERFIRM INC. '
dw 5594 ; 0x15DA
db 'APPLIED COMPUTING SYSTEMS INC. '
dw 5595 ; 0x15DB
db 'LITRONIC INC '
dw 5596 ; 0x15DC
db 'SIGMATEL INC. '
dw 5597 ; 0x15DD
db 'MALLEABLE TECHNOLOGIES INC '
dw 5598 ; 0x15DE
db 'INFINILINK CORP. '
dw 5599 ; 0x15DF
db 'CACHEFLOW INC '
dw 5600 ; 0x15E0
db 'VOICE TECHNOLOGIES GROUP INC '
dw 5601 ; 0x15E1
db 'QUICKNET TECHNOLOGIES INC '
dw 5602 ; 0x15E2
db 'NETWORTH TECHNOLOGIES INC '
dw 5603 ; 0x15E3
db 'VSN SYSTEMEN BV '
dw 5604 ; 0x15E4
db 'VALLEY TECHNOLOGIES INC '
dw 5605 ; 0x15E5
db 'AGERE INC. '
dw 5606 ; 0x15E6
db 'GET ENGINEERING CORP. '
dw 5607 ; 0x15E7
db 'NATIONAL DATACOMM CORP. '
dw 5608 ; 0x15E8
db 'PACIFIC DIGITAL CORP. '
dw 5609 ; 0x15E9
db 'TOKYO DENSHI SEKEI K.K. '
dw 5610 ; 0x15EA
db 'DRSEARCH GMBH '
dw 5611 ; 0x15EB
db 'BECKHOFF GMBH '
dw 5612 ; 0x15EC
db 'MACROLINK INC '
dw 5613 ; 0x15ED
db 'IN WIN DEVELOPMENT INC. '
dw 5614 ; 0x15EE
db 'INTELLIGENT PARADIGM INC '
dw 5615 ; 0x15EF
db 'B-TREE SYSTEMS INC '
dw 5616 ; 0x15F0
db 'TIMES N SYSTEMS INC '
dw 5617 ; 0x15F1
db 'DIAGNOSTIC INSTRUMENTS INC '
dw 5618 ; 0x15F2
db 'DIGITMEDIA CORP. '
dw 5619 ; 0x15F3
db 'VALUESOFT '
dw 5620 ; 0x15F4
db 'POWER MICRO RESEARCH '
dw 5621 ; 0x15F5
db 'EXTREME PACKET DEVICE INC '
dw 5622 ; 0x15F6
db 'BANCTEC '
dw 5623 ; 0x15F7
db 'KOGA ELECTRONICS CO '
dw 5624 ; 0x15F8
db 'ZENITH ELECTRONICS CORPORATION '
dw 5625 ; 0x15F9
db 'J P AXZAM CORPORATION '
dw 5626 ; 0x15FA
db 'ZILOG INC. '
dw 5627 ; 0x15FB
db 'TECHSAN ELECTRONICS CO LTD '
dw 5628 ; 0x15FC
db 'N-CUBED.NET '
dw 5629 ; 0x15FD
db 'KINPO ELECTRONICS INC '
dw 5630 ; 0x15FE
db 'FASTPOINT TECHNOLOGIES INC. '
dw 5631 ; 0x15FF
db 'NORTHROP GRUMMAN-CANADA LTD '
dw 5632 ; 0x1600
db 'TENTA TECHNOLOGY '
dw 5633 ; 0x1601
db 'PROSYS-TEC INC. '
dw 5634 ; 0x1602
db 'NOKIA WIRELESS BUSINESS COMMUNICATIONS '
dw 5635 ; 0x1603
db 'CENTRAL SYSTEM RESEARCH CO LTD '
dw 5636 ; 0x1604
db 'PAIRGAIN TECHNOLOGIES '
dw 5637 ; 0x1605
db 'EUROPOP AG '
dw 5638 ; 0x1606
db 'LAVA SEMICONDUCTOR MANUFACTURING INC. '
dw 5639 ; 0x1607
db 'AUTOMATED WAGERING INTERNATIONAL '
dw 5640 ; 0x1608
db 'SCIEMETRIC INSTRUMENTS INC '
dw 5641 ; 0x1609
db 'Broadcom -SiByte '
dw 5741 ; 0x166D
db 'EPOX Computer Co '
dw 5781 ; 0x1695
db 'ALBATRON Corp. '
dw 6130 ; 0x17F2
db 'AMBIENT TECHNOLOGIES INC '
dw 6163 ; 0x1813
db 'ASROCK Inc '
dw 6217 ; 0x1849
db 'Jaton Corp '
dw 6931 ; 0x1B13
db 'TEMPORAL RESEARCH LTD '
dw 8193 ; 0x2001
db 'CHAINTECH COMPUTER CO. LTD '
dw 9999 ; 0x270F
db 'HINT CORP '
dw 13192 ; 0x3388
db 'QUANTUM DESIGNS (H.K.) INC. '
dw 13329 ; 0x3411
db 'AVANCE LOGIC INC '
dw 16389 ; 0x4005
db 'DELTA NETWORKS INC '
dw 16435 ; 0x4033
db 'ALADDIN KNOWLEDGE SYSTEMS '
dw 16748 ; 0x416C
db 'CONEXANT (WAS ICOMPRESION INC.) '
dw 17476 ; 0x4444
db 'GROWTH NETWORKS '
dw 18755 ; 0x4943
db 'SEANIX TECHNOLOGY INC '
dw 19617 ; 0x4CA1
db 'MEDIAQ INC. '
dw 19793 ; 0x4D51
db 'MICROTECHNICA CO LTD '
dw 19796 ; 0x4D54
db 'S S TECHNOLOGIES '
dw 20790 ; 0x5136
db 'S3 Graphics Co., Ltd. '
dw 21299 ; 0x5333
db 'TERALOGIC INC '
dw 21580 ; 0x544C
db 'GENROCO INC '
dw 21845 ; 0x5555
db 'LOGITEC CORP. '
dw 25609 ; 0x6409
db 'DECISION COMPUTER INTERNATIONAL CO. '
dw 26214 ; 0x6666
db 'INTEL CORP. '
dw 32902 ; 0x8086
db 'SILICON MAGIC CORP. '
dw 34952 ; 0x8888
db 'COMPUTONE CORPORATION '
dw 36366 ; 0x8E0E
db 'Adaptec Inc '
dw 36868 ; 0x9004
db 'GIGAPIXEL CORP '
dw 37274 ; 0x919A
db 'OMNI MEDIA TECHNOLOGY INC. '
dw 38553 ; 0x9699
db 'AOPEN INC. '
dw 41120 ; 0xA0A0
db 'HEWLETT PACKARD '
dw 41561 ; 0xA259
db 'DIGITAL RECEIVER TECHNOLOGY INC '
dw 44062 ; 0xAC1E
db 'MOTOROLA '
dw 49374 ; 0xC0DE
db 'MOTION ENGINEERING. '
dw 49406 ; 0xC0FE
db 'VARIAN AUSTRIALIA PTY LTD '
dw 51792 ; 0xCA50
db 'CHRYSALIS-ITS '
dw 51966 ; 0xCAFE
db 'CATAPULT COMMUNICATIONS '
dw 52428 ; 0xCCCC
db 'DY4 Systems Inc/Curtiss-Wright Controls Embed. Com'
dw 54484 ; 0xD4D4
db 'EKF ELEKTRONIK GMBH '
dw 58559 ; 0xE4BF
db 'EAGLE TECHNOLOGY '
dw 59905 ; 0xEA01
db 'FAST SEARCH & TRANSFER ASA '
dw 64087 ; 0xFA57
db 'EPIGRAM INC '
dw 65242 ; 0xFEDA
;----------------------------------------------------------------------
_UNKNOWN: db 'UNKNOWN VENDOR '
; changed ??/04/2007:
; size optimezed
; total quantity of vendors is 997 now (changes by Mihailov Ilia)
 
_UNKNOWND:
db 'UNKNOWN DEVICE '
 
Class0:
db 'Prehistoric - misc '
db 00 ; 0x00
db 'Prehistoric - VGA '
db 01 ; 0x01
macro VendorID a,b { ; by mike.dld
dw a
dd _vtmp#a
\iglobal
_vtmp#a db b
db 0
\};endg
}
 
Class1:
db 'Storage - SCSI '
db 00 ; 0x00
db 'Storage - IDE '
db 01 ; 0x01
db 'Storage - Floppy '
db 02 ; 0x02
db 'Storage - IPI '
db 03 ; 0x03
db 'Storage - RAID '
db 04 ; 0x04
db ' ' ;Storage - ATA with DMA
db 05 ; 0x05
db 'Storage - Serial ATA '
db 06 ; 0x06
db 'Storage - misc '
db 128 ; 0x80
macro ClassID a,b,c,d {
db a
db b
local tt
 
Class2:
db 'Network - Ethernet '
db 00 ; 0x0
db 'Network - Token Ring '
db 01 ; 0x1
db 'Network - FDDI '
db 02 ; 0x2
db 'Network - ATM '
db 03 ; 0x3
db 'Network - ISDN '
db 04 ; 0x4
db 'Network - WorldFip '
db 05 ; 0x5
db 'Network - PICMG 2.14 '
db 06 ; 0x6
db 'Network - misc '
db 128 ; 0x80
if c eq
db 0
db 1
tt = 'x'
else
db c
db 0
tt = c
end if
 
Class3:
db ' ' ;Display - VGA controller
db 00 ; 0x0
db 'Display - XGA controller '
db 01 ; 0x1
db 'Display - 3D controller '
db 02 ; 0x2
db 'Display - misc '
db 128 ; 0x80
dd _ctmp#a#b#tt
\iglobal
_ctmp#a#b#tt db d
db 0
\};endg
}
 
Class4:
db 'Multimedia - Video '
db 00 ; 0x0
db 'Multimedia - Audio '
db 01 ; 0x1
db 'Multimedia - Computer telephony '
db 02 ; 0x2
db 'Multimedia - misc '
db 128 ; 0x80
;--------------------------------------
VendorsTab:
VendorID 0x0033, 'PARADYNE CORP.'
VendorID 0x003D, 'Lockheed Martin Corp'
VendorID 0x0100, 'NCIPHER CORP. LTD'
VendorID 0x0675, 'DYNALINK'
VendorID 0x0571, 'BERKOM'
VendorID 0x0A89, 'BREA TECHNOLOGIES INC'
VendorID 0x0E11, 'COMPAQ COMPUTER CORP.'
VendorID 0x1000, 'SYMBIOS LOGIC INC/LSI Logic'
VendorID 0x1001, 'KOLTER ELECTRONIC'
VendorID 0x1002, 'ATI TECHNOLOGIES INC'
VendorID 0x1003, 'ULSI'
VendorID 0x1004, 'VLSI TECHNOLOGY INC'
VendorID 0x1005, 'ADL'
VendorID 0x100B, 'NATIONAL SEMICONDUCTOR CORPORATION'
VendorID 0x100C, 'Tseng Labs'
VendorID 0x100E, 'Weitek'
VendorID 0x1010, 'VIDEO LOGIC LTD'
VendorID 0x1011, 'Digital Equipment Corporation'
VendorID 0x1013, 'Cirrus Logic'
VendorID 0x1014, 'IBM'
VendorID 0x1017, 'Spea Software AG'
VendorID 0x1018, 'UNISYS CORPORATION'
VendorID 0x1019, 'ELITEGROUP COMPUTER SYS'
VendorID 0x101A, 'NCR Corporation'
VendorID 0x101E, 'AMERICAN MEGATRENDS'
VendorID 0x1020, 'HITACHI COMPUTER PRODUCTS'
VendorID 0x1021, 'OKI ELECTRIC INDUSTRY CO. LTD.'
VendorID 0x1022, 'Advanced Micro Devices'
VendorID 0x1023, 'TRIDENT MICROSYSTEMS'
VendorID 0x1025, 'Acer Incorporated'
VendorID 0x1028, 'DELL COMPUTER CORPORATION'
VendorID 0x102A, 'LSI LOGIC CORPORATION'
VendorID 0x102B, 'MATROX GRAPHICS'
VendorID 0x102C, 'Asiliant (Chips And Technologies)'
VendorID 0x102D, 'Wyse Technologies'
VendorID 0x102F, 'TOSHIBA AMERICA'
VendorID 0x1031, 'miro Computer Products AG'
VendorID 0x1033, 'NEC CORPORATION'
VendorID 0x1036, 'Future Domain'
VendorID 0x1038, 'AMP'
VendorID 0x1039, 'SILICON INTEGRATED SYSTEMS'
VendorID 0x103C, 'Hewlett-Packard Company'
VendorID 0x103F, 'SYNOPSYS/LOGIC MODELING GROUP'
VendorID 0x1042, 'Micron Electronics'
VendorID 0x1043, 'ASUSTEK COMPUTER'
VendorID 0x1044, 'DISTRIBUTED PROCESSING TECHNOLOGY'
VendorID 0x1045, 'OPTI INC.'
VendorID 0x1048, 'ELSA AG'
VendorID 0x1049, 'FOUNTAIN TECHNOLOGIES'
VendorID 0x104A, 'STMicroelectronics'
VendorID 0x104B, 'BusLogic'
VendorID 0x104C, 'TEXAS INSTRUMENTS'
VendorID 0x104D, 'SONY CORPORATION'
VendorID 0x104E, 'Oak Technology'
VendorID 0x104F, 'Co-Time Computer Ltd.'
VendorID 0x1050, 'WINBOND ELECTRONICS CORP'
VendorID 0x1051, 'Anigma Corp.'
VendorID 0x1054, 'HITACHI'
VendorID 0x1055, 'Standard Microsystems Corp.'
VendorID 0x1057, 'Motorola'
VendorID 0x1058, 'ETRI'
VendorID 0x1059, 'TEKNOR INDUSTRIAL COMPUTERS INC'
VendorID 0x105A, 'PROMISE TECHNOLOGY'
VendorID 0x105B, 'FOXCONN INTERNATIONAL INC'
VendorID 0x105D, 'NUMBER 9 VISUAL TECHNOLOGY'
VendorID 0x105F, 'INFOTRONIC AMERICA INC'
VendorID 0x1060, 'United Microelectronics'
VendorID 0x1061, '8x8 Inc.'
VendorID 0x1063, 'OCEAN MANUFACTURING LTD'
VendorID 0x1064, 'ALCATEL'
VendorID 0x1065, 'Texas Microsystems'
VendorID 0x1066, 'Picopower Technology'
VendorID 0x1067, 'MITSUBISHI ELECTRIC AMERICA'
VendorID 0x1068, 'DIVERSIFIED TECHNOLOGY'
VendorID 0x1069, 'MYLEX CORPORATION'
VendorID 0x106B, 'APPLE COMPUTER INC.'
VendorID 0x106C, 'Hyundai Electronics America'
VendorID 0x106D, 'SEQUENT COMPUTER SYSTEMS'
VendorID 0x1070, 'DAEWOO TELECOM LTD'
VendorID 0x1071, 'MITAC'
VendorID 0x1073, 'YAMAHA CORPORATION'
VendorID 0x1074, 'Nexgen Microsystems'
VendorID 0x1076, 'Chaintech Comp.'
VendorID 0x1077, 'QLOGIC Corporation'
VendorID 0x1078, 'Cyrix Corporation'
VendorID 0x1079, 'I-BUS'
VendorID 0x107B, 'GATEWAY 2000'
VendorID 0x107D, 'Leadtek Research'
VendorID 0x107E, 'INTERPHASE CORPORATION'
VendorID 0x107F, 'Data Technology Corporation'
VendorID 0x1080, 'Cypress Semiconductor'
VendorID 0x1081, 'Radius Inc.'
VendorID 0x1083, 'Forex Computer Corporation'
VendorID 0x1085, 'Tulip Computers International BV'
VendorID 0x1087, 'Cache Computer'
VendorID 0x108A, 'SBS Operations'
VendorID 0x108D, 'OLICOM'
VendorID 0x108E, 'Sun Microsystems'
VendorID 0x1091, 'Intergraph Corporation'
VendorID 0x1092, 'Diamond Computer Systems'
VendorID 0x1093, 'National Instruments'
VendorID 0x1095, 'SILICON IMAGE (WAS CMD TECHNOLOGY INC)'
VendorID 0x1096, 'ALACRON'
VendorID 0x1097, 'APPIAN Graphics/ETMA'
VendorID 0x1098, 'Quantum Designs Ltd.'
VendorID 0x109A, 'PACKARD BELL NEC'
VendorID 0x109E, 'BROOKTREE CORPORATION'
VendorID 0x109F, 'TRIGEM COMPUTER INC.'
VendorID 0x10A0, 'MEIDENSHA CORPORATION'
VendorID 0x10A2, 'QUANTUM EFFECT DESIGN'
VendorID 0x10A4, 'Globe Manufacturing Sales'
VendorID 0x10A8, 'Sierra Semiconductor'
VendorID 0x10A9, 'SILICON GRAPHICS'
VendorID 0x10AC, 'HONEYWELL IAC'
VendorID 0x10AD, 'Winbond Systems Labs'
VendorID 0x10AF, 'MICRO COMPUTER SYSTEMS INC'
VendorID 0x10B5, 'PLX TECHNOLOGY.'
VendorID 0x10B6, 'MADGE NETWORKS'
VendorID 0x10B7, '3COM Corp, Networking Division'
VendorID 0x10B8, 'Standard Microsystems Corporation'
VendorID 0x10B9, 'ACER LABS Incorp.'
VendorID 0x10BA, 'MITSUBISHI ELECTRIC CORP.'
VendorID 0x10BD, 'Surecom Technology'
VendorID 0x10C2, 'AUSPEX SYSTEMS INC.'
VendorID 0x10C3, 'Samsung Semiconductors'
VendorID 0x10C4, 'Award Software International Inc.'
VendorID 0x10C8, 'NEOMAGIC CORPORATION'
VendorID 0x10CA, 'FUJITSU MICROELECTRONIC'
VendorID 0x10CB, 'OMRON CORPORATION'
VendorID 0x10CD, 'ADVANCED SYSTEM PRODUCTS'
VendorID 0x10CF, 'FUJITSU LIMITED'
VendorID 0x10D1, 'FUTUREPLUS SYSTEMS CORP.'
VendorID 0x10D2, 'MOLEX INCORPORATED'
VendorID 0x10D9, 'Macronix International Co. Ltd.'
VendorID 0x10DB, 'ROHM LSI SYSTEMS'
VendorID 0x10DC, 'CERN-European Lab. for Particle Physics'
VendorID 0x10DD, 'EVANS & SUTHERLAND'
VendorID 0x10DE, 'NVIDIA CORPORATION'
VendorID 0x10DF, 'EMULEX CORPORATION'
VendorID 0x10E1, 'TEKRAM TECHNOLOGY CO.LTD.'
VendorID 0x10E3, 'TUNDRA SEMICONDUCTOR CORP'
VendorID 0x10E5, 'MICRO INDUSTRIES CORPORATION'
VendorID 0x10E8, 'Applied Micro Circuits Corp.'
VendorID 0x10EA, 'Tvia, Inc.'
VendorID 0x10EB, 'Artist Graphics'
VendorID 0x10EC, 'REALTEK SEMICONDUCTOR CORP.'
VendorID 0x10ED, 'Ascii Corporation'
VendorID 0x10EE, 'XILINX'
VendorID 0x10EF, 'Racore Computer Products'
VendorID 0x10F0, 'Curtiss-Wright Controls Embedded Computing'
VendorID 0x10F1, 'TYAN COMPUTER'
VendorID 0x10F4, 'S-Mos Systems'
VendorID 0x10F5, 'NKK CORPORATION'
VendorID 0x10F6, 'Creative Electronic Systems SA'
VendorID 0x10FA, 'Truevision'
VendorID 0x10FB, 'Thesys Microelectronics'
VendorID 0x10FC, 'I-O DATA DEVICE'
VendorID 0x10FE, 'FAST MULTIMEDIA AG'
VendorID 0x1101, 'INITIO CORPORATION'
VendorID 0x1102, 'Creative Labs'
VendorID 0x1105, 'SIGMA DESIGNS'
VendorID 0x1106, 'VIA TECHNOLOGIES'
VendorID 0x1107, 'ASCEND COMMUNICATIONS/Stratus Computer'
VendorID 0x1108, 'Proteon Inc.'
VendorID 0x1109, 'Adaptec/Cogent Data Technologies Inc'
VendorID 0x110A, 'SIEMENS PC SYSTEME GMBH'
VendorID 0x110B, 'Chromatic Research Inc'
VendorID 0x1111, 'SANTA CRUZ OPERATION'
VendorID 0x1112, 'Osicom Technologies Inc.'
VendorID 0x1113, 'ACCTON TECHNOLOGY'
VendorID 0x1114, 'Atmel Corp.'
VendorID 0x1116, 'Media 100, Inc.'
VendorID 0x1117, 'Datacube Inc.'
VendorID 0x1118, 'FCI ELECTRONICS'
VendorID 0x1119, 'ICP-VORTEX COMPUTERSYSTEM GMBH'
VendorID 0x111A, 'EFFICIENT NETWORKS'
VendorID 0x111C, 'Tricord Systems Inc.'
VendorID 0x111D, 'INTEGRATED DEVICE TECH'
VendorID 0x111F, 'Precision Digital Images'
VendorID 0x1120, 'EMC CORPORATION'
VendorID 0x1127, 'FORE SYSTEMS INC'
VendorID 0x112A, 'HERMES ELECTRONICS COMPANY'
VendorID 0x112E, 'Infomedia'
VendorID 0x112F, 'IMAGING TECHNOLOGY'
VendorID 0x1131, 'PHILIPS SEMICONDUCTORS'
VendorID 0x1132, 'MITEL CORP'
VendorID 0x1133, 'Eicon Networks Corporation'
VendorID 0x1134, 'MERCURY COMPUTER SYSTEMS'
VendorID 0x1135, 'FUJI XEROX CO LTD'
VendorID 0x1136, 'MOMENTUM DATA SYSTEMS'
VendorID 0x1137, 'CISCO SYSTEMS INC'
VendorID 0x1138, 'ZIATECH CORPORATION'
VendorID 0x113C, 'CYCLONE MICROSYSTEMS.'
VendorID 0x113E, 'SANYO ELECTRIC CO-Information Systems Division'
VendorID 0x113F, 'Equinox Systems'
VendorID 0x1141, 'CREST MICROSYSTEM INC.'
VendorID 0x1142, 'Alliance Semiconductor CA - USA'
VendorID 0x1144, 'Cincinnati Milacron'
VendorID 0x1145, 'WORKBIT CORPORATION'
VendorID 0x1146, 'FORCE COMPUTERS GMBH'
VendorID 0x1147, 'Interface Corp'
VendorID 0x1148, 'SYSKONNECT/Marvell'
VendorID 0x114A, 'VMIC'
VendorID 0x114C, 'ANNABOOKS'
VendorID 0x114F, 'DIGI INTERNATIONAL'
VendorID 0x1154, 'MELCO INC'
VendorID 0x1155, 'Pine Technology Ltd'
VendorID 0x1158, 'Voarx R&D Inc'
VendorID 0x1159, 'Mutech'
VendorID 0x115C, 'PHOTRON LTD.'
VendorID 0x115D, 'XIRCOM'
VendorID 0x1161, 'PFU LIMITED'
VendorID 0x1163, 'RENDITION'
VendorID 0x1165, 'Imagraph Corporation'
VendorID 0x1166, 'Reliance Computer Corp./ServerWorks'
VendorID 0x1169, 'Centre f/Dev. of Adv. Computing'
VendorID 0x116A, 'Polaris Communications'
VendorID 0x116E, 'ELECTRONICS FOR IMAGING'
VendorID 0x1170, 'INVENTEC CORPORATION'
VendorID 0x1171, 'BLUE WAVE SYSTEMS'
VendorID 0x1172, 'ALTERA CORPORATION'
VendorID 0x1176, 'SBE'
VendorID 0x1178, 'Alfa Inc'
VendorID 0x1179, 'TOSHIBA AMERICA INFO SYSTEMS'
VendorID 0x117B, 'GCE-8320B'
VendorID 0x117E, 'T/R Systems'
VendorID 0x1180, 'RICOH CO LTD'
VendorID 0x1185, 'Dataworld'
VendorID 0x1186, 'D-LINK SYSTEM INC'
VendorID 0x1187, 'ADVANCED TECHNOLOGY LABORATORIES'
VendorID 0x1189, 'MATSUSHITA ELECTIC INDUSTRIAL CO LTD'
VendorID 0x118B, 'PLATYPUS TECHNOLOGY PTY LTD'
VendorID 0x118C, 'Corollary Inc'
VendorID 0x118D, 'BitFlow Inc'
VendorID 0x118E, 'Hermstedt AG'
VendorID 0x1190, 'Tripace'
VendorID 0x1191, 'ACARD TECHNOLOGY'
VendorID 0x1193, 'ZeitNet'
VendorID 0x1195, 'RATOC SYSTEMS INC'
VendorID 0x1197, 'Gage Applied Technologies'
VendorID 0x1199, 'Attachmate Corp.'
VendorID 0x119A, 'MINDSHARE.'
VendorID 0x119B, 'Omega Micro Inc.'
VendorID 0x119D, 'BUG.'
VendorID 0x119E, 'FUJITSU MICROELECTRONICS LTD.'
VendorID 0x119F, 'BULL HN INFORMATION SYSTEMS'
VendorID 0x11A1, 'HAMAMATSU PHOTONICS K.K.'
VendorID 0x11A8, 'Systech Corp.'
VendorID 0x11A9, 'InnoSys Inc.'
VendorID 0x11AA, 'ACTEL'
VendorID 0x11AB, 'GALILEO TECHNOLOGY LTD/Marvell Semiconductor, Inc.'
VendorID 0x11AD, 'LITE-ON COMMUNICATIONS INC'
VendorID 0x11AE, 'SCITEX CORPORATION'
VendorID 0x11AF, 'AVID TECHNOLOGY INC'
VendorID 0x11B0, 'V3 SEMICONDUCTOR INC./Quicklogic Corp'
VendorID 0x11B2, 'EASTMAN KODAK'
VendorID 0x11B3, 'BARR SYSTEMS INC.'
VendorID 0x11B5, 'Radstone Technology Ltd.'
VendorID 0x11B8, 'Xpoint Technologies Inc'
VendorID 0x11B9, 'Pathlight Technology Inc.'
VendorID 0x11BC, 'Network Peripherals Inc'
VendorID 0x11BD, 'Pinnacle Systems Inc.'
VendorID 0x11BF, 'ASTRODESIGN'
VendorID 0x11C1, 'AGERE/LUCENT'
VendorID 0x11C6, 'DAINIPPON SCREEN MFG. CO. LTD'
VendorID 0x11C8, 'DOLPHIN INTERCONNECT SOLUTIONS AS'
VendorID 0x11C9, 'MAGMA'
VendorID 0x11CA, 'LSI SYSTEMS'
VendorID 0x11CB, 'SPECIALIX INTERNATIONAL LTD'
VendorID 0x11CE, 'NETACCESS/Primary Rate Inc'
VendorID 0x11D0, 'LOCKHEED MARTIN-Electronics & Communications'
VendorID 0x11D1, 'AuraVision Corporation'
VendorID 0x11D2, 'INTERCOM INC.'
VendorID 0x11D4, 'Analog Devices, Inc.'
VendorID 0x11D5, 'IKON CORPORATION/Tahoma Technology'
VendorID 0x11D9, 'TOSHIBA TEC CORPORATION'
VendorID 0x11DA, 'NOVELL'
VendorID 0x11DB, 'Sega Enterprises Ltd'
VendorID 0x11DE, 'Zoran Corporation'
VendorID 0x11DF, 'NEW WAVE PDG'
VendorID 0x11E3, 'QUICKLOGIC CORPORATION'
VendorID 0x11EC, 'CORECO INC'
VendorID 0x11EE, 'DOME IMAGING SYSTEMS INC'
VendorID 0x11F0, 'Compu-Shack GmbH'
VendorID 0x11F4, 'Kinetic Systems Corporation'
VendorID 0x11F6, 'Powermatic Data Systems Ltd'
VendorID 0x11F8, 'PMC-SIERRA INC'
VendorID 0x11FE, 'Comtrol Corp'
VendorID 0x1202, 'Network General Corp'
VendorID 0x1203, 'AGFA CORPORATION'
VendorID 0x1206, 'AMDAHL CORPORATION'
VendorID 0x1208, 'Parsytec GmbH'
VendorID 0x1209, 'Sci Systems Inc'
VendorID 0x120E, 'Cyclades Corporation'
VendorID 0x120F, 'ESSENTIAL COMMUNICATIONS'
VendorID 0x1214, 'PERFORMANCE TECHNOLOGIES.'
VendorID 0x1216, 'PURUP-EskoFot A/S'
VendorID 0x1217, 'O2MICRO.'
VendorID 0x121A, '3DFX INTERACTIVE'
VendorID 0x121B, 'VIRATA LTD'
VendorID 0x1220, 'Ariel Corporation'
VendorID 0x1221, 'CONTEC CO. LTD'
VendorID 0x1223, 'ARTESYN COMMUNICATIONS PRODUCTS INC'
VendorID 0x1224, 'Interactive Images'
VendorID 0x1227, 'TECH-SOURCE'
VendorID 0x122C, 'SICAN GMBH'
VendorID 0x122D, 'Aztech System Ltd'
VendorID 0x1232, 'MARCONI COMMUNICATIONS LTD'
VendorID 0x1236, 'Sigma Designs, Inc'
VendorID 0x124C, 'Solitron Technologies Inc.'
VendorID 0x124D, 'Stallion Technologies'
VendorID 0x124F, 'Infortrend Technology Inc'
VendorID 0x1256, 'Perceptive Solutions Inc.'
VendorID 0x1258, 'Gilbarco Inc.'
VendorID 0x125B, 'Asix Electronics Corp.'
VendorID 0x1266, 'Microdyne Corp.'
VendorID 0x1267, 'S.A. Telecommunications'
VendorID 0x1361, 'SOLITON SYSTEMS K.K.'
VendorID 0x123C, 'CENTURY SYSTEMS.'
VendorID 0x123D, 'Engineering Design Team Inc.'
VendorID 0x123F, 'C-CUBE MICROSYSTEMS'
VendorID 0x1242, 'JAYCOR NETWORKS INC./JNI Corporation'
VendorID 0x1244, 'AVM AUDIOVISUELLES MKTG & COMPUTER SYSTEM GMBH'
VendorID 0x124B, 'SBS TECHNOLOGIES'
VendorID 0x1250, 'Hitachi Microcomputer System Ltd.'
VendorID 0x1253, 'GUZIK TECHNICAL ENTERPRISES'
VendorID 0x1255, 'OPTIBASE LTD'
VendorID 0x1259, 'ALLIED TELESYN INTERNATIONAL'
VendorID 0x125C, 'AURORA TECHNOLOGIES.'
VendorID 0x125D, 'ESS TECHNOLOGY, INC.'
VendorID 0x125F, 'CONCURRENT TECHNOLOGIES'
VendorID 0x1260, 'INTERSIL CORP'
VendorID 0x1261, 'MATSUSHITA-KOTOBUKI ELECTRONICS'
VendorID 0x1264, 'AVAL NAGASAKI CORPORATION'
VendorID 0x1268, 'TEKTRONIX'
VendorID 0x126C, 'Nortel Networks Corp.'
VendorID 0x126D, 'SPLASH TECHNOLOGY.'
VendorID 0x126E, 'SUMITOMO METAL INDUSTRIES'
VendorID 0x126F, 'SILICON MOTION.'
VendorID 0x1270, 'OLYMPUS OPTICAL CO. LTD.'
VendorID 0x1274, 'Creative Labs (was Ensoniq, Malvern)'
VendorID 0x1275, 'NETWORK APPLIANCE CORPORATION'
VendorID 0x1278, 'Transtech Parallel Systems'
VendorID 0x1279, 'TRANSMETA CORPORATION'
VendorID 0x127A, 'CONEXANT, ROCKWELL'
VendorID 0x127D, 'VELA RESEARCH LP'
VendorID 0x127F, 'FUJIFILM'
VendorID 0x1281, 'YOKOGAWA ELECTRIC CORPORATION'
VendorID 0x1283, 'Integrated Technology Express Inc.'
VendorID 0x1286, 'MAZET GMBH'
VendorID 0x128B, 'TRANSWITCH CORPORATION'
VendorID 0x128D, 'G2 Networks Inc.'
VendorID 0x128F, 'TATENO DENNOU.'
VendorID 0x1290, 'TOSHIBA PERSONAL COMPUTER SYSTEM CORP.'
VendorID 0x1291, 'NCS COMPUTER ITALIA SRL'
VendorID 0x1292, 'TRITECH MICROELECTRONICS INC'
VendorID 0x1297, 'SHUTTLE COMPUTER'
VendorID 0x1299, 'KNOWLEDGE TECHNOLOGY LAB.'
VendorID 0x129A, 'VMETRO Inc.'
VendorID 0x129E, 'VICTOR COMPANY OF JAPAN'
VendorID 0x12A0, 'ALLEN- BRADLEY COMPANY'
VendorID 0x12A3, 'Lucent Technologies AMR'
VendorID 0x12A7, 'AMO GMBH'
VendorID 0x12A9, 'XIOTECH CORPORATION'
VendorID 0x12AB, 'YUAN YUAN ENTERPRISE CO. LTD.'
VendorID 0x12AE, 'Alteon Networks Inc.'
VendorID 0x12B6, 'NATURAL MICROSYSTEMS'
VendorID 0x12B7, 'COGNEX MODULAR VISION SYSTEMS DIV.-ACUMEN INC.'
VendorID 0x12B9, '3Com Corp, Modem Division'
VendorID 0x12BC, 'ARRAY MICROSYSTEMS'
VendorID 0x12BE, 'ANCHOR CHIPS INC.'
VendorID 0x12BF, 'Fujifilm Microdevices'
VendorID 0x12C0, 'INFIMED'
VendorID 0x12C3, 'Holtek Microelectronics Inc.'
VendorID 0x12C4, 'Connect Tech Inc'
VendorID 0x12C6, 'Mitan Corporation'
VendorID 0x12C7, 'Dialogic Corp'
VendorID 0x12CA, 'Integrated Computing Engines'
VendorID 0x12CD, 'Aims Lab'
VendorID 0x12D2, 'NVIDIA (WAS: STB,SGS THOMPSON)'
VendorID 0x12D3, 'GE VINGMED ULTRASOUND AS'
VendorID 0x12D4, 'COMVERSE NETWORKS SYSTEM & Ulticom, Inc.'
VendorID 0x12D5, 'Equator Technologies'
VendorID 0x12D6, 'Analogic Corp'
VendorID 0x12D8, 'PERICOM SEMICONDUCTOR'
VendorID 0x12D9, 'Aculab PLC'
VendorID 0x12DA, 'True Time Inc.'
VendorID 0x12DE, 'Rainbow Technologies'
VendorID 0x12DF, 'SBS Technologies Inc'
VendorID 0x12E0, 'Chase Research PLC'
VendorID 0x12E2, 'Datum Inc. Bancomm-Timing Division'
VendorID 0x12E4, 'Brooktrout Technology Inc'
VendorID 0x12E7, 'Sebring Systems'
VendorID 0x12EA, 'Real Vision'
VendorID 0x12EB, 'Aureal Semiconductor'
VendorID 0x12EC, '3A'
VendorID 0x12F0, 'PENTEK'
VendorID 0x12F7, 'COGNEX INC.'
VendorID 0x12FB, 'Spectrum Signal Processing'
VendorID 0x12FC, 'CAPITAL EQUIPMENT CORP'
VendorID 0x12FE, 'ESD Electronic System Design GmbH'
VendorID 0x1304, 'Juniper Networks Inc.'
VendorID 0x1307, 'Computer Boards'
VendorID 0x1308, 'LEVEL ONE COMMUNICATIONS/Jato Technologies Inc.'
VendorID 0x130A, 'Mitsubishi Electric MicroComputer'
VendorID 0x130B, 'Colorgraphic Communications Corp'
VendorID 0x130F, 'Advanet Inc'
VendorID 0x1310, 'GESPAC'
VendorID 0x1313, 'YASKAWA ELECTRIC CO.'
VendorID 0x1316, 'TERADYNE INC.'
VendorID 0x1317, 'ADMTEK INC'
VendorID 0x1318, 'Packet Engines Inc.'
VendorID 0x1319, 'ForteMedia'
VendorID 0x131F, 'SIIG Inc'
VendorID 0x1325, 'SALIX TECHNOLOGIES INC'
VendorID 0x1326, 'SeaChange International'
VendorID 0x1331, 'RadiSys Corp.'
VendorID 0x133D, 'PRISA NETWORKS'
VendorID 0x133F, 'SCM MICROSYSTEMS'
VendorID 0x1342, 'PROMAX SYSTEMS INC'
VendorID 0x1344, 'MICRON TECHNOLOGY INC'
VendorID 0x134A, 'Domex'
VendorID 0x134B, 'ARK RESEARCH CORP.'
VendorID 0x134C, 'CHORI JOHO SYSTEM CO. LTD'
VendorID 0x134D, 'PC-TEL INC'
VendorID 0x135A, 'BRAIN BOXES LIMITED'
VendorID 0x135C, 'QUATECH INC'
VendorID 0x135E, 'SEALEVEL SYSTEMS INC'
VendorID 0x135F, 'I-DATA INTERNATIONAL A-S'
VendorID 0x1360, 'MEINBERG FUNKUHREN'
VendorID 0x1363, 'PHOENIX TECHNOLOGIES LTD'
VendorID 0x1365, 'HYPERCOPE'
VendorID 0x1367, 'HITACHI ZOSEN CORPORATION'
VendorID 0x1368, 'SKYWARE CORPORATION'
VendorID 0x1369, 'DIGIGRAM'
VendorID 0x136B, 'KAWASAKI STEEL CORPORATION'
VendorID 0x136C, 'ADTEK SYSTEM SCIENCE CO LTD'
VendorID 0x1375, 'BOEING-SUNNYVALE'
VendorID 0x1376, 'LAN Media Corporation'
VendorID 0x1377, 'ELECTRONIC EQUIPMENT PRODUCTION & DISTRIBUTION'
VendorID 0x137A, 'MARK OF THE UNICORN INC'
VendorID 0x137B, 'PPT VISION'
VendorID 0x137C, 'IWATSU ELECTRIC CO LTD'
VendorID 0x137D, 'DYNACHIP CORPORATION'
VendorID 0x1380, 'SANRITZ AUTOMATION CO LTC'
VendorID 0x1381, 'BRAINS CO. LTD'
VendorID 0x1383, 'CONTROLNET INC'
VendorID 0x1384, 'STELLAR SEMICONDUCTOR INC'
VendorID 0x1385, 'NETGEAR'
VendorID 0x1387, 'SYSTRAN CORP'
VendorID 0x1388, 'HITACHI INFORMATION TECHNOLOGY CO LTD'
VendorID 0x1389, 'APPLICOM INTERNATIONAL'
VendorID 0x138A, 'SITERA'
VendorID 0x138B, 'TOKIMEC INC'
VendorID 0x138E, 'BASLER GMBH'
VendorID 0x138F, 'PATAPSCO DESIGNS INC'
VendorID 0x1393, 'MOXA TECHNOLOGIES CO LTD'
VendorID 0x1394, 'LEVEL ONE COMMUNICATIONS'
VendorID 0x1395, 'AMBICOM INC'
VendorID 0x1396, 'CIPHER SYSTEMS INC'
VendorID 0x1397, 'COLOGNE CHIP DESIGNS GMBH'
VendorID 0x1398, 'CLARION CO. LTD'
VendorID 0x1399, 'RIOS SYSTEMS CO LTD'
VendorID 0x139A, 'ALACRITECH INC'
VendorID 0x139C, 'QUANTUM 3D INC'
VendorID 0x139D, 'XSTREAMS PLC/ EPL LIMITED'
VendorID 0x139E, 'ECHOSTAR DATA NETWORKS'
VendorID 0x139F, 'AETHRA S.R.L.'
VendorID 0x13A0, 'CRYSTAL GROUP INC'
VendorID 0x13A1, 'KAWASAKI HEAVY INDUSTRIES LTD'
VendorID 0x13A2, 'OSITECH COMMUNICATIONS INC'
VendorID 0x13A4, 'RASCOM INC'
VendorID 0x13A7, 'TELES AG'
VendorID 0x13A8, 'EXAR CORP.'
VendorID 0x13A9, 'SIEMENS MEDICAL SYSTEMS'
VendorID 0x13AA, 'NORTEL NETWORKS-BWA DIVISION'
VendorID 0x13AF, 'T.SQWARE'
VendorID 0x13B1, 'TAMURA CORPORATION'
VendorID 0x13B4, 'WELLBEAN CO INC'
VendorID 0x13B5, 'ARM Ltd'
VendorID 0x13B6, 'DLoG GMBH'
VendorID 0x13B8, 'NOKIA TELECOMMUNICATIONS OY'
VendorID 0x13BD, 'SHARP CORPORATION'
VendorID 0x13BF, 'SHAREWAVE INC'
VendorID 0x13C0, 'Microgate Corp.'
VendorID 0x13C1, '3ware Inc.'
VendorID 0x13C2, 'TECHNOTREND SYSTEMTECHNIK GMBH'
VendorID 0x13C3, 'JANZ COMPUTER AG'
VendorID 0x13C6, 'CONDOR ENGINEERING INC'
VendorID 0x13C7, 'BLUE CHIP TECHNOLOGY LTD'
VendorID 0x13CA, 'IOMEGA CORPORATION'
VendorID 0x13CC, 'METHEUS CORPORATION'
VendorID 0x13CF, 'STUDIO AUDIO & VIDEO LTD'
VendorID 0x13D0, 'B2C2'
VendorID 0x13D1, 'ABOCOM SYSTEMS'
VendorID 0x13D2, 'SHARK MULTIMEDIA INC'
VendorID 0x13D3, 'IMC NETWORKS'
VendorID 0x13D4, 'GRAPHICS MICROSYSTEMS INC'
VendorID 0x13D6, 'K.I. TECHNOLOGY CO LTD'
VendorID 0x13D7, 'TOSHIBA ENGINEERING CORPORATION'
VendorID 0x13D8, 'PHOBOS CORPORATION'
VendorID 0x13D9, 'APEX INC'
VendorID 0x13DC, 'NETBOOST CORPORATION'
VendorID 0x13DE, 'ABB ROBOTICS PRODUCTS'
VendorID 0x13DF, 'E-TECH INC'
VendorID 0x13E0, 'GVC CORPORATION'
VendorID 0x13E3, 'NEST INC'
VendorID 0x13E4, 'CALCULEX INC'
VendorID 0x13E5, 'TELESOFT DESIGN LTD'
VendorID 0x13E9, 'INTRASERVER TECHNOLOGY INC'
VendorID 0x13EA, 'DALLAS SEMICONDUCTOR'
VendorID 0x13F0, 'SUNDANCE TECHNOLOGY INC'
VendorID 0x13F1, 'OCE-TECHNOLOGIES B.V.'
VendorID 0x13F2, 'FORD MICROELECTRONICS INC'
VendorID 0x13F4, 'TROIKA NETWORKS INC'
VendorID 0x13F6, 'C-MEDIA ELECTRONICS INC'
VendorID 0x13F9, 'NTT ADVANCED TECHNOLOGY CORP.'
VendorID 0x13FB, 'AYDIN CORP'
VendorID 0x13FD, 'MICRO SCIENCE INC'
VendorID 0x1400, 'ARTX INC'
VendorID 0x1402, 'Meilhaus Electronic GmbH Germany'
VendorID 0x1404, 'FUNDAMENTAL SOFTWARE INC'
VendorID 0x1406, 'OCE PRINTING SYSTEMS GmbH'
VendorID 0x1407, 'LAVA COMPUTER MFG INC'
VendorID 0x1408, 'ALOKA CO. LTD'
VendorID 0x140A, 'DSP RESEARCH INC'
VendorID 0x140B, 'RAMIX INC'
VendorID 0x140D, 'MATSUSHITA ELECTRIC WORKS LTD'
VendorID 0x1412, 'ICEnsemble'
VendorID 0x1413, 'ADDONICS'
VendorID 0x1415, 'OXFORD SEMICONDUCTOR LTD'
VendorID 0x1418, 'KYUSHU ELECTRONICS SYSTEMS INC'
VendorID 0x1419, 'EXCEL SWITCHING CORP'
VendorID 0x141B, 'ZOOM TELEPHONICS INC'
VendorID 0x141E, 'FANUC LTD'
VendorID 0x1420, 'PSION DACOM PLC'
VendorID 0x1428, 'EDEC CO LTD'
VendorID 0x1429, 'UNEX TECHNOLOGY CORP'
VendorID 0x142A, 'KINGMAX TECHNOLOGY INC'
VendorID 0x142B, 'RADIOLAN'
VendorID 0x142C, 'MINTON OPTIC INDUSTRY CO LTD'
VendorID 0x142D, 'PIXSTREAM INC'
VendorID 0x1430, 'ITT AEROSPACE/COMMUNICATIONS DIVISION'
VendorID 0x1433, 'ELTEC ELEKTRONIK GMBH'
VendorID 0x1436, 'CIS TECHNOLOGY INC'
VendorID 0x1437, 'NISSIN INC CO'
VendorID 0x1438, 'ATMEL-DREAM'
VendorID 0x143F, 'LIGHTWELL CO LTD-ZAX DIVISION'
VendorID 0x1441, 'AGIE SA'
VendorID 0x1445, 'LOGICAL CO LTD'
VendorID 0x1446, 'GRAPHIN CO. LTD'
VendorID 0x1447, 'AIM GMBH'
VendorID 0x144A, 'ADLINK Technology Inc'
VendorID 0x144B, 'LORONIX INFORMATION SYSTEMS INC'
VendorID 0x144D, 'SAMSUNG ELECTRONICS CO LTD'
VendorID 0x1450, 'OCTAVE COMMUNICATIONS IND.'
VendorID 0x1451, 'SP3D CHIP DESIGN GMBH'
VendorID 0x1453, 'MYCOM INC'
VendorID 0x1455, 'LOGIC PLUS PLUS INC'
VendorID 0x1458, 'GIGA-BYTE TECHNOLOGY'
VendorID 0x145C, 'CRYPTEK'
VendorID 0x145F, 'BALDOR ELECTRIC COMPANY'
VendorID 0x1460, 'DYNARC INC'
VendorID 0x1461, 'AVERMEDIA Tech.'
VendorID 0x1462, 'MICRO-STAR INTERNATIONAL CO LTD'
VendorID 0x1463, 'FAST CORPORATION'
VendorID 0x1464, 'INTERACTIVE CIRCUITS & SYSTEMS LTD'
VendorID 0x1465, 'GN NETTEST TELECOM DIV.'
VendorID 0x1468, 'AMBIT MICROSYSTEMS CORP.'
VendorID 0x1469, 'CLEVELAND MOTION CONTROLS'
VendorID 0x146C, 'RUBY TECH CORP.'
VendorID 0x146D, 'TACHYON'
VendorID 0x146E, 'WILLIAMS ELECTRONICS GAMES.'
VendorID 0x1471, 'INTEGRATED TELECOM EXPRESS INC'
VendorID 0x1473, 'ZAPEX TECHNOLOGIES INC'
VendorID 0x1474, 'DOUG CARSON & ASSOCIATES'
VendorID 0x1477, 'NET INSIGHT'
VendorID 0x1478, 'DIATREND CORPORATION'
VendorID 0x147B, 'ABIT Computer'
VendorID 0x147F, 'NIHON UNISYS'
VendorID 0x1482, 'ISYTEC-Integrierte Systemtechnik Gmbh'
VendorID 0x1483, 'LABWAY COPORATION'
VendorID 0x1485, 'ERMA-ELECTRONIC GMBH'
VendorID 0x1489, 'KYE SYSTEMS CORPORATION'
VendorID 0x148A, 'OPTO 22'
VendorID 0x148B, 'INNOMEDIALOGIC INC.'
VendorID 0x148E, 'OSI PLUS CORPORATION'
VendorID 0x148F, 'PLANT EQUIPMENT.'
VendorID 0x1490, 'TC LABS PTY LTD.'
VendorID 0x1493, 'MAKER COMMUNICATIONS'
VendorID 0x1495, 'TOKAI COMMUNICATIONS INDUSTRY CO. LTD'
VendorID 0x1496, 'JOYTECH COMPUTER CO. LTD.'
VendorID 0x1497, 'SMA REGELSYSTEME GMBH'
VendorID 0x1499, 'EMTEC CO. LTD'
VendorID 0x149A, 'ANDOR TECHNOLOGY LTD'
VendorID 0x149B, 'SEIKO INSTRUMENTS INC'
VendorID 0x149C, 'OVISLINK CORP.'
VendorID 0x149D, 'NEWTEK INC'
VendorID 0x149E, 'MAPLETREE NETWORKS INC.'
VendorID 0x149F, 'LECTRON CO LTD'
VendorID 0x14A0, 'SOFTING GMBH'
VendorID 0x14A1, 'SYSTEMBASE CO LTD'
VendorID 0x14A2, 'MILLENNIUM ENGINEERING INC'
VendorID 0x14A3, 'MAVERICK NETWORKS'
VendorID 0x14A4, 'GVC/BCM ADVANCED RESEARCH'
VendorID 0x14A5, 'XIONICS DOCUMENT TECHNOLOGIES INC.'
VendorID 0x14A6, 'INOVA COMPUTERS GMBH & CO KG'
VendorID 0x14A8, 'FEATRON TECHNOLOGIES CORPORATION'
VendorID 0x14A9, 'HIVERTEC INC.'
VendorID 0x14AB, 'MENTOR GRAPHICS CORP.'
VendorID 0x14AC, 'NOVAWEB TECHNOLOGIES INC'
VendorID 0x14AD, 'TIME SPACE RADIO AB'
VendorID 0x14AE, 'CTI PET Systems'
VendorID 0x14AF, 'GUILLEMOT CORPORATION'
VendorID 0x14B0, 'BST COMMUNICATION TECHNOLOGY LTD'
VendorID 0x14B1, 'NEXTCOM K.K.'
VendorID 0x14B2, 'ENNOVATE NETWORKS INC'
VendorID 0x14B3, 'XPEED INC.'
VendorID 0x14B4, 'PHILIPS BUSINESS ELECTRONICS B.V.'
VendorID 0x14B5, 'CREAMWARE GMBH'
VendorID 0x14B6, 'QUANTUM DATA CORP.'
VendorID 0x14B7, 'PROXIM INC'
VendorID 0x14B8, 'TECHSOFT TECHNOLOGY CO LTD'
VendorID 0x14B9, 'AIRONET WIRELESS COMMUNICATIONS'
VendorID 0x14BA, 'INTERNIX INC.'
VendorID 0x14BB, 'SEMTECH CORPORATION'
VendorID 0x14BC, 'GLOBESPAN SEMICONDUCTOR INC.'
VendorID 0x14BD, 'CARDIO CONTROL N.V.'
VendorID 0x14BE, 'L3 COMMUNICATIONS'
VendorID 0x14BF, 'SPIDER COMMUNICATIONS INC.'
VendorID 0x14C0, 'COMPAL ELECTRONICS INC'
VendorID 0x14C1, 'MYRICOM INC.'
VendorID 0x14C2, 'DTK COMPUTER'
VendorID 0x14C3, 'MEDIATEK CORP.'
VendorID 0x14C4, 'IWASAKI INFORMATION SYSTEMS CO LTD'
VendorID 0x14C5, 'ABB AUTOMATION PRODUCTS'
VendorID 0x14C6, 'DATA RACE INC'
VendorID 0x14C7, 'MODULAR TECHNOLOY HOLDINGS LTD'
VendorID 0x14C8, 'TURBOCOMM TECH. INC.'
VendorID 0x14C9, 'ODIN TELESYSTEMS INC'
VendorID 0x14CA, 'PE LOGIC CORP.'
VendorID 0x14CB, 'Billionton Systems Inc./Cadmus Micro Inc.'
VendorID 0x14CC, 'NAKAYO TELECOMMUNICATIONS INC'
VendorID 0x14CD, 'UNIVERSAL SCIENTIFIC IND'
VendorID 0x14CE, 'WHISTLE COMMUNICATIONS'
VendorID 0x14CF, 'TEK MICROSYSTEMS INC.'
VendorID 0x14D0, 'ERICSSON AXE R & D'
VendorID 0x14D1, 'COMPUTER HI-TECH CO LTD'
VendorID 0x14D2, 'TITAN ELECTRONICS INC'
VendorID 0x14D3, 'CIRTECH (UK) LTD'
VendorID 0x14D4, 'PANACOM TECHNOLOGY CORP'
VendorID 0x14D5, 'NITSUKO CORPORATION'
VendorID 0x14D6, 'ACCUSYS'
VendorID 0x14D7, 'HIRAKAWA HEWTECH CORP'
VendorID 0x14D8, 'HOPF ELEKTRONIK GMBH'
VendorID 0x14D9, 'ALPHA PROCESSOR INC'
VendorID 0x14DA, 'NATIONAL AEROSPACE LABORATORIES'
VendorID 0x14DB, 'AVLAB TECHNOLOGY INC'
VendorID 0x14DC, 'AMPLICON LIVELINE LTD'
VendorID 0x14DD, 'IMODL INC.'
VendorID 0x14DE, 'APPLIED INTEGRATION CORPORATION'
VendorID 0x14DF, 'BASIS COMMUNICATIONS CORP'
VendorID 0x14E1, 'INVERTEX'
VendorID 0x14E2, 'INFOLIBRIA'
VendorID 0x14E3, 'AMTELCO'
VendorID 0x14E4, 'BROADCOM CORPORATION'
VendorID 0x14E5, 'PIXELFUSION LTD'
VendorID 0x14E6, 'SHINING TECHNOLOGY INC'
VendorID 0x14E7, '3CX'
VendorID 0x14E8, 'RAYCER INC'
VendorID 0x14E9, 'GARNETS SYSTEM CO LTD'
VendorID 0x14EA, 'PLANEX COMMUNICATIONS INC'
VendorID 0x14EB, 'SEIKO EPSON CORPORATION'
VendorID 0x14EC, 'ACQIRIS'
VendorID 0x14ED, 'DATAKINETICS LTD'
VendorID 0x14EE, 'MASPRO KENKOH CORP'
VendorID 0x14EF, 'CARRY COMPUTER ENG. CO LTD'
VendorID 0x14F0, 'CANON RESEACH CENTRE FRANCE'
VendorID 0x14F1, 'Conexant Systems, Inc'
VendorID 0x14F2, 'Mobility Electronics, Inc.'
VendorID 0x14F3, 'BROADLOGIC'
VendorID 0x14F4, 'TOKYO ELECTRONIC INDUSTRY CO LTD'
VendorID 0x14F5, 'SOPAC LTD'
VendorID 0x14F6, 'COYOTE TECHNOLOGIES LLC'
VendorID 0x14F7, 'WOLF TECHNOLOGY INC'
VendorID 0x14F8, 'AUDIOCODES INC'
VendorID 0x14F9, 'AG COMMUNICATIONS'
VendorID 0x14FA, 'WAVETEK WANDEL & GOLTERMANN'
VendorID 0x14FB, 'TRANSAS MARINE (UK) LTD'
VendorID 0x14FC, 'QUADRICS SUPERCOMPUTERS WORLD'
VendorID 0x14FD, 'JAPAN COMPUTER INDUSTRY INC.'
VendorID 0x14FE, 'ARCHTEK TELECOM CORP.'
VendorID 0x14FF, 'TWINHEAD INTERNATIONAL CORP'
VendorID 0x1500, 'LANTECH COMPUTER COMPANY'
VendorID 0x1501, 'BANKSOFT CANADA LTD'
VendorID 0x1502, 'MITSUBISHI ELECTRIC LOGISTICS SUPPORT CO'
VendorID 0x1503, 'KAWASAKI LSI USA INC'
VendorID 0x1504, 'KAISER ELECTRONICS'
VendorID 0x1505, 'ITA INGENIEURBURO FUR TESTAUFGABEN GMBH'
VendorID 0x1506, 'CHAMELEON SYSTEMS INC'
VendorID 0x1507, 'HTEC LTD'
VendorID 0x1508, 'HONDA CONNECTORS/MHOTRONICS INC'
VendorID 0x1509, 'FIRST INTERNATIONAL COMPUTER INC'
VendorID 0x150A, 'FORVUS RESEARCH INC'
VendorID 0x150B, 'YAMASHITA SYSTEMS CORP'
VendorID 0x150C, 'KYOPAL CO LTD'
VendorID 0x150D, 'WARPSPPED INC'
VendorID 0x150E, 'C-PORT CORPORATION'
VendorID 0x150F, 'INTEC GMBH'
VendorID 0x1510, 'BEHAVIOR TECH COMPUTER CORP'
VendorID 0x1511, 'CENTILLIUM TECHNOLOGY CORP'
VendorID 0x1512, 'ROSUN TECHNOLOGIES INC'
VendorID 0x1513, 'RAYCHEM'
VendorID 0x1514, 'TFL LAN INC'
VendorID 0x1515, 'ICS ADVENT'
VendorID 0x1516, 'MYSON TECHNOLOGY INC'
VendorID 0x1517, 'ECHOTEK CORPORATION'
VendorID 0x1518, 'PEP MODULAR COMPUTERS GMBH'
VendorID 0x1519, 'TELEFON AKTIEBOLAGET LM Ericsson'
VendorID 0x151A, 'GLOBETEK INC'
VendorID 0x151B, 'COMBOX LTD'
VendorID 0x151C, 'DIGITAL AUDIO LABS INC'
VendorID 0x151D, 'FUJITSU COMPUTER PRODUCTS OF AMERICA'
VendorID 0x151E, 'MATRIX CORP.'
VendorID 0x151F, 'TOPIC SEMICONDUCTOR CORP'
VendorID 0x1520, 'CHAPLET SYSTEM INC'
VendorID 0x1521, 'BELL CORPORATION'
VendorID 0x1522, 'MAINPINE LIMITED'
VendorID 0x1523, 'MUSIC SEMICONDUCTORS'
VendorID 0x1524, 'ENE TECHNOLOGY INC'
VendorID 0x1525, 'IMPACT TECHNOLOGIES'
VendorID 0x1526, 'ISS'
VendorID 0x1527, 'SOLECTRON'
VendorID 0x1528, 'ACKSYS'
VendorID 0x1529, 'AMERICAN MICROSYSTEMS INC'
VendorID 0x152A, 'QUICKTURN DESIGN SYSTEMS'
VendorID 0x152B, 'FLYTECH TECHNOLOGY CO LTD'
VendorID 0x152C, 'MACRAIGOR SYSTEMS LLC'
VendorID 0x152D, 'QUANTA COMPUTER INC'
VendorID 0x152E, 'MELEC INC'
VendorID 0x152F, 'PHILIPS-CRYPTO'
VendorID 0x1530, 'ACQIS TECHNOLOGY'
VendorID 0x1531, 'CHRYON CORP.'
VendorID 0x1532, 'ECHELON CORPORATION'
VendorID 0x1533, 'BALTIMORE'
VendorID 0x1534, 'ROAD CORPORATION'
VendorID 0x1535, 'EVERGREEN TECHNOLOGIES INC'
VendorID 0x1537, 'DATALEX COMMUNCATIONS'
VendorID 0x1538, 'ARALION INC.'
VendorID 0x1539, 'ATELIER INFORMATIQUES et ELECTRONIQUE ETUDES S.A.'
VendorID 0x153A, 'ONO SOKKI'
VendorID 0x153B, 'TERRATEC ELECTRONIC GMBH'
VendorID 0x153C, 'ANTAL ELECTRONIC'
VendorID 0x153D, 'FILANET CORPORATION'
VendorID 0x153E, 'TECHWELL INC'
VendorID 0x153F, 'MIPS DENMARK'
VendorID 0x1540, 'PROVIDEO MULTIMEDIA CO LTD'
VendorID 0x1541, 'TELOSITY INC.'
VendorID 0x1542, 'VIVID TECHNOLOGY INC'
VendorID 0x1543, 'SILICON LABORATORIES'
VendorID 0x1544, 'DCM DATA SYSTEMS'
VendorID 0x1545, 'VISIONTEK'
VendorID 0x1546, 'IOI TECHNOLOGY CORP.'
VendorID 0x1547, 'MITUTOYO CORPORATION'
VendorID 0x1548, 'JET PROPULSION LABORATORY'
VendorID 0x1549, 'INTERCONNECT SYSTEMS SOLUTIONS'
VendorID 0x154A, 'MAX TECHNOLOGIES INC.'
VendorID 0x154B, 'COMPUTEX CO LTD'
VendorID 0x154C, 'VISUAL TECHNOLOGY INC.'
VendorID 0x154D, 'PAN INTERNATIONAL INDUSTRIAL CORP'
VendorID 0x154E, 'SERVOTEST LTD'
VendorID 0x154F, 'STRATABEAM TECHNOLOGY'
VendorID 0x1550, 'OPEN NETWORK CO LTD'
VendorID 0x1551, 'SMART ELECTRONIC DEVELOPMENT GMBH'
VendorID 0x1552, 'RACAL AIRTECH LTD'
VendorID 0x1553, 'CHICONY ELECTRONICS CO LTD'
VendorID 0x1554, 'PROLINK MICROSYSTEMS CORP.'
VendorID 0x1555, 'GESYTEC GMBH'
VendorID 0x1556, 'PLD APPLICATIONS'
VendorID 0x1557, 'MEDIASTAR CO. LTD'
VendorID 0x1558, 'CLEVO/KAPOK COMPUTER'
VendorID 0x1559, 'SI LOGIC LTD'
VendorID 0x155A, 'INNOMEDIA INC'
VendorID 0x155B, 'PROTAC INTERNATIONAL CORP'
VendorID 0x155C, 'CEMAX-ICON INC'
VendorID 0x155D, 'MAC SYSTEM CO LTD'
VendorID 0x155E, 'LP ELEKTRONIK GMBH/KUKA Controls GmbH'
VendorID 0x155F, 'PERLE SYSTEMS LIMITED'
VendorID 0x1560, 'TERAYON COMMUNICATIONS SYSTEMS'
VendorID 0x1561, 'VIEWGRAPHICS INC'
VendorID 0x1562, 'Symbol Technologies, Inc.'
VendorID 0x1563, 'A-TREND'
VendorID 0x1564, 'YAMAKATSU ELECTRONICS INDUSTRY CO LTD'
VendorID 0x1565, 'BIOSTAR MICROTECH INT CORP'
VendorID 0x1566, 'ARDENT TECHNOLOGIES INC'
VendorID 0x1567, 'JUNGSOFT'
VendorID 0x1568, 'DDK ELECTRONICS INC'
VendorID 0x1569, 'PALIT MICROSYSTEMS INC'
VendorID 0x156A, 'AVTEC SYSTEMS'
VendorID 0x156B, '2WIRE'
VendorID 0x156C, 'VIDAC ELECTRONICS GMBH'
VendorID 0x156D, 'ALPHA-TOP CORP'
VendorID 0x156E, 'ALFA INC'
VendorID 0x156F, 'M-SYSTEMS FLASH DISK PIONEERS LTD'
VendorID 0x1570, 'LECROY CORPORATION'
VendorID 0x1571, 'CONTEMPORARY CONTROLS'
VendorID 0x1572, 'OTIS ELEVATOR COMPANY'
VendorID 0x1573, 'LATTICE-VANTIS'
VendorID 0x1574, 'FAIRCHILD SEMICONDUCTOR'
VendorID 0x1575, 'VOLTAIRE ADVANCED DATA SECURITY LTD'
VendorID 0x1576, 'VIEWCAST COM'
VendorID 0x1578, 'HITT'
VendorID 0x1579, 'DUAL TECHNOLOGY CORPORATION'
VendorID 0x157A, 'JAPAN ELECRONICS IND. INC'
VendorID 0x157B, 'STAR MULTIMEDIA CORP.'
VendorID 0x157C, 'EUROSOFT (UK) LTD'
VendorID 0x157D, 'GEMFLEX NETWORKS'
VendorID 0x157E, 'TRANSITION NETWORKS'
VendorID 0x157F, 'PX INSTRUMENTS TECHNOLOGY LTD'
VendorID 0x1580, 'PRIMEX AEROSPACE CO.'
VendorID 0x1581, 'SEH COMPUTERTECHNIK GMBH'
VendorID 0x1582, 'CYTEC CORPORATION'
VendorID 0x1583, 'INET TECHNOLOGIES INC'
VendorID 0x1584, 'UNIWILL COMPUTER CORP'
VendorID 0x1585, 'LOGITRON'
VendorID 0x1586, 'LANCAST INC'
VendorID 0x1587, 'KONICA CORPORATION'
VendorID 0x1588, 'SOLIDUM SYSTEMS CORP'
VendorID 0x1589, 'ATLANTEK MICROSYSTEMS PTY LTD'
VendorID 0x158A, 'DIGALOG SYSTEMS INC'
VendorID 0x158B, 'ALLIED DATA TECHNOLOGIES'
VendorID 0x158C, 'HITACHI SEMICONDUCTOR & DEVICES SALES CO LTD'
VendorID 0x158D, 'POINT MULTIMEDIA SYSTEMS'
VendorID 0x158E, 'LARA TECHNOLOGY INC'
VendorID 0x158F, 'DITECT COOP'
VendorID 0x1590, '3PARDATA'
VendorID 0x1591, 'ARN'
VendorID 0x1592, 'SYBA TECH LIMITED'
VendorID 0x1593, 'BOPS INC'
VendorID 0x1594, 'NETGAME LTD'
VendorID 0x1595, 'DIVA SYSTEMS CORP.'
VendorID 0x1596, 'FOLSOM RESEARCH INC'
VendorID 0x1597, 'MEMEC DESIGN SERVICES'
VendorID 0x1598, 'GRANITE MICROSYSTEMS'
VendorID 0x1599, 'DELTA ELECTRONICS INC'
VendorID 0x159A, 'GENERAL INSTRUMENT'
VendorID 0x159B, 'FARADAY TECHNOLOGY CORP'
VendorID 0x159C, 'STRATUS COMPUTER SYSTEMS'
VendorID 0x159D, 'NINGBO HARRISON ELECTRONICS CO LTD'
VendorID 0x159E, 'A-MAX TECHNOLOGY'
VendorID 0x159F, 'GALEA NETWORK SECURITY'
VendorID 0x15A0, 'COMPUMASTER SRL'
VendorID 0x15A1, 'GEOCAST NETWORK SYSTEMS INC'
VendorID 0x15A2, 'CATALYST ENTERPRISES INC'
VendorID 0x15A3, 'ITALTEL'
VendorID 0x15A4, 'X-NET OY'
VendorID 0x15A5, 'TOYOTA MACS INC'
VendorID 0x15A6, 'SUNLIGHT ULTRASOUND TECHNOLOGIES LTD'
VendorID 0x15A7, 'SSE TELECOM INC'
VendorID 0x15A8, 'SHANGHAI COMMUNICATIONS TECHNOLOGIES CENTER'
VendorID 0x15AA, 'MORETON BAY'
VendorID 0x15AB, 'BLUESTEEL NETWORKS INC'
VendorID 0x15AC, 'NORTH ATLANTIC INSTRUMENTS'
VendorID 0x15AD, 'VMware Inc.'
VendorID 0x15AE, 'AMERSHAM PHARMACIA BIOTECH'
VendorID 0x15B0, 'ZOLTRIX INTERNATIONAL LIMITED'
VendorID 0x15B1, 'SOURCE TECHNOLOGY INC'
VendorID 0x15B2, 'MOSAID TECHNOLOGIES INC.'
VendorID 0x15B3, 'MELLANOX TECHNOLOGY'
VendorID 0x15B4, 'CCI/TRIAD'
VendorID 0x15B5, 'CIMETRICS INC'
VendorID 0x15B6, 'TEXAS MEMORY SYSTEMS INC'
VendorID 0x15B7, 'SANDISK CORP.'
VendorID 0x15B8, 'ADDI-DATA GMBH'
VendorID 0x15B9, 'MAESTRO DIGITAL COMMUNICATIONS'
VendorID 0x15BA, 'IMPACCT TECHNOLOGY CORP'
VendorID 0x15BB, 'PORTWELL INC'
VendorID 0x15BC, 'AGILENT TECHNOLOGIES'
VendorID 0x15BD, 'DFI INC.'
VendorID 0x15BE, 'SOLA ELECTRONICS'
VendorID 0x15BF, 'HIGH TECH COMPUTER CORP (HTC)'
VendorID 0x15C0, 'BVM LIMITED'
VendorID 0x15C1, 'QUANTEL'
VendorID 0x15C2, 'NEWER TECHNOLOGY INC'
VendorID 0x15C3, 'TAIWAN MYCOMP CO LTD'
VendorID 0x15C4, 'EVSX'
VendorID 0x15C5, 'PROCOMP INFORMATICS LTD'
VendorID 0x15C6, 'TECHNICAL UNIVERSITY OF BUDAPEST'
VendorID 0x15C7, 'TATEYAMA SYSTEM LABORATORY CO LTD'
VendorID 0x15C8, 'PENTA MEDIA CO. LTD'
VendorID 0x15C9, 'SEROME TECHNOLOGY INC'
VendorID 0x15CA, 'BITBOYS OY'
VendorID 0x15CB, 'AG ELECTRONICS LTD'
VendorID 0x15CC, 'HOTRAIL INC.'
VendorID 0x15CD, 'DREAMTECH CO LTD'
VendorID 0x15CE, 'GENRAD INC.'
VendorID 0x15CF, 'HILSCHER GMBH'
VendorID 0x15D1, 'INFINEON TECHNOLOGIES AG'
VendorID 0x15D2, 'FIC (FIRST INTERNATIONAL COMPUTER INC)'
VendorID 0x15D3, 'NDS TECHNOLOGIES ISRAEL LTD'
VendorID 0x15D4, 'IWILL CORPORATION'
VendorID 0x15D5, 'TATUNG CO.'
VendorID 0x15D6, 'ENTRIDIA CORPORATION'
VendorID 0x15D7, 'Rockwell-Collins Inc'
VendorID 0x15D8, 'CYBERNETICS TECHNOLOGY CO LTD'
VendorID 0x15D9, 'SUPER MICRO COMPUTER INC'
VendorID 0x15DA, 'CYBERFIRM INC.'
VendorID 0x15DB, 'APPLIED COMPUTING SYSTEMS INC.'
VendorID 0x15DC, 'LITRONIC INC'
VendorID 0x15DD, 'SIGMATEL INC.'
VendorID 0x15DE, 'MALLEABLE TECHNOLOGIES INC'
VendorID 0x15DF, 'INFINILINK CORP.'
VendorID 0x15E0, 'CACHEFLOW INC'
VendorID 0x15E1, 'VOICE TECHNOLOGIES GROUP INC'
VendorID 0x15E2, 'QUICKNET TECHNOLOGIES INC'
VendorID 0x15E3, 'NETWORTH TECHNOLOGIES INC'
VendorID 0x15E4, 'VSN SYSTEMEN BV'
VendorID 0x15E5, 'VALLEY TECHNOLOGIES INC'
VendorID 0x15E6, 'AGERE INC.'
VendorID 0x15E7, 'GET ENGINEERING CORP.'
VendorID 0x15E8, 'NATIONAL DATACOMM CORP.'
VendorID 0x15E9, 'PACIFIC DIGITAL CORP.'
VendorID 0x15EA, 'TOKYO DENSHI SEKEI K.K.'
VendorID 0x15EB, 'DRSEARCH GMBH'
VendorID 0x15EC, 'BECKHOFF GMBH'
VendorID 0x15ED, 'MACROLINK INC'
VendorID 0x15EE, 'IN WIN DEVELOPMENT INC.'
VendorID 0x15EF, 'INTELLIGENT PARADIGM INC'
VendorID 0x15F0, 'B-TREE SYSTEMS INC'
VendorID 0x15F1, 'TIMES N SYSTEMS INC'
VendorID 0x15F2, 'DIAGNOSTIC INSTRUMENTS INC'
VendorID 0x15F3, 'DIGITMEDIA CORP.'
VendorID 0x15F4, 'VALUESOFT'
VendorID 0x15F5, 'POWER MICRO RESEARCH'
VendorID 0x15F6, 'EXTREME PACKET DEVICE INC'
VendorID 0x15F7, 'BANCTEC'
VendorID 0x15F8, 'KOGA ELECTRONICS CO'
VendorID 0x15F9, 'ZENITH ELECTRONICS CORPORATION'
VendorID 0x15FA, 'J P AXZAM CORPORATION'
VendorID 0x15FB, 'ZILOG INC.'
VendorID 0x15FC, 'TECHSAN ELECTRONICS CO LTD'
VendorID 0x15FD, 'N-CUBED.NET'
VendorID 0x15FE, 'KINPO ELECTRONICS INC'
VendorID 0x15FF, 'FASTPOINT TECHNOLOGIES INC.'
VendorID 0x1600, 'NORTHROP GRUMMAN-CANADA LTD'
VendorID 0x1601, 'TENTA TECHNOLOGY'
VendorID 0x1602, 'PROSYS-TEC INC.'
VendorID 0x1603, 'NOKIA WIRELESS BUSINESS COMMUNICATIONS'
VendorID 0x1604, 'CENTRAL SYSTEM RESEARCH CO LTD'
VendorID 0x1605, 'PAIRGAIN TECHNOLOGIES'
VendorID 0x1606, 'EUROPOP AG'
VendorID 0x1607, 'LAVA SEMICONDUCTOR MANUFACTURING INC.'
VendorID 0x1608, 'AUTOMATED WAGERING INTERNATIONAL'
VendorID 0x1609, 'SCIEMETRIC INSTRUMENTS INC'
VendorID 0x166D, 'Broadcom -SiByte'
VendorID 0x1695, 'EPOX Computer Co'
VendorID 0x17F2, 'ALBATRON Corp.'
VendorID 0x1813, 'AMBIENT TECHNOLOGIES INC'
VendorID 0x1849, 'ASROCK Inc'
VendorID 0x1B13, 'Jaton Corp'
VendorID 0x2001, 'TEMPORAL RESEARCH LTD'
VendorID 0x270F, 'CHAINTECH COMPUTER CO. LTD'
VendorID 0x3388, 'HINT CORP'
VendorID 0x3411, 'QUANTUM DESIGNS (H.K.) INC.'
VendorID 0x4005, 'AVANCE LOGIC INC'
VendorID 0x4033, 'DELTA NETWORKS INC'
VendorID 0x416C, 'ALADDIN KNOWLEDGE SYSTEMS'
VendorID 0x4444, 'CONEXANT (WAS ICOMPRESION INC.)'
VendorID 0x4943, 'GROWTH NETWORKS'
VendorID 0x4CA1, 'SEANIX TECHNOLOGY INC'
VendorID 0x4D51, 'MEDIAQ INC.'
VendorID 0x4D54, 'MICROTECHNICA CO LTD'
VendorID 0x5136, 'S S TECHNOLOGIES'
VendorID 0x5333, 'S3 Graphics Co., Ltd.'
VendorID 0x544C, 'TERALOGIC INC'
VendorID 0x5555, 'GENROCO INC'
VendorID 0x6409, 'LOGITEC CORP.'
VendorID 0x6666, 'DECISION COMPUTER INTERNATIONAL CO.'
VendorID 0x8086, 'Intel Corp.'
VendorID 0x8888, 'SILICON MAGIC CORP.'
VendorID 0x8E0E, 'COMPUTONE CORPORATION'
VendorID 0x9004, 'Adaptec Inc'
VendorID 0x919A, 'GIGAPIXEL CORP'
VendorID 0x9412, 'HOLTEK'
VendorID 0x9699, 'OMNI MEDIA TECHNOLOGY INC.'
VendorID 0x9710, 'NetMos'
VendorID 0xA0A0, 'AOPEN INC.'
VendorID 0xA259, 'HEWLETT PACKARD'
VendorID 0xAC1E, 'DIGITAL RECEIVER TECHNOLOGY INC'
VendorID 0xC0DE, 'MOTOROLA'
VendorID 0xC0FE, 'MOTION ENGINEERING.'
VendorID 0xCA50, 'VARIAN AUSTRIALIA PTY LTD'
VendorID 0xCAFE, 'CHRYSALIS-ITS'
VendorID 0xCCCC, 'CATAPULT COMMUNICATIONS'
VendorID 0xD4D4, 'DY4 Systems Inc/Curtiss-Wright Controls Embed. Com'
VendorID 0xE159, 'TigerJet'
VendorID 0xE4BF, 'EKF ELEKTRONIK GMBH'
VendorID 0xEA01, 'EAGLE TECHNOLOGY'
VendorID 0xFA57, 'FAST SEARCH & TRANSFER ASA'
VendorID 0xFEDA, 'EPIGRAM INC'
VendorID 0, 'Unknown' ; <- terminator
 
Class5:
db 'Memory - RAM '
db 00 ; 0x0
db 'Memory- Flash memory '
db 01 ; 0x1
db 'Memory - misc '
db 128 ; 0x80
;--------------------------------------
Classes:
; Class, Subclass, [Interface], Name
ClassID 0, 0, , 'Prehistoric - misc'
ClassID 0, 1, , 'Prehistoric - VGA'
 
Class6:
db 'Bridge - CPU/PCI '
db 00 ; 0x00
db 'Bridge - PCI/ISA '
db 01 ; 0x01
db 'Bridge - PCI/EISA '
db 02 ; 0x02
db 'Bridge - PCI/MCA '
db 03 ; 0x03
db ' ';Bridge - PCI/PCI
db 04 ; 0x04
db 'Bridge - PCMCIA '
db 05 ; 0x05
db 'Bridge - NuBus '
db 06 ; 0x06
db 'Bridge - CardBus '
db 07 ; 0x07
db 'Bridge - RACEway '
db 08 ; 0x08
db ' '; Bridge - Semi-transpar. PCI/PCI
db 09 ; 0x09
db 'Bridge - InfiniBand/PCI '
db 10 ; 0x0A
db 'Bridge - misc '
db 128 ; 0x80
ClassID 1, 0, , 'Storage - SCSI'
ClassID 1, 1, , 'Storage - IDE' ; intrface may be 0x80, 0x85 & 0x8A
ClassID 1, 1, 0x8A, 'Storage - IDE (prog. canals)'
ClassID 1, 2, , 'Storage - Floppy'
ClassID 1, 3, , 'Storage - IPI'
ClassID 1, 4, , 'Storage - RAID'
ClassID 1, 5, , 'Storage - ATA with DMA'
ClassID 1, 5, 0x20, 'Storage - ATA c. w/ single DMA'
ClassID 1, 5, 0x30, 'Storage - ATA c. w/ chained DMA'
ClassID 1, 6, , 'Storage - Serial ATA'
ClassID 1, 7, , 'Storage - SAS'
ClassID 1, 0x80, , 'Storage - misc'
 
Class7:
db ' ';Communication - Serial
db 00 ; 0x0
db ' ';Communication - Parallel
db 01 ; 0x1
db 'Communication - Multiport Serial'
db 02 ; 0x2
db ' ';Communication - Modem
db 03 ; 0x3
db 'Communication - GPIB '
db 04 ; 0x4
db 'Communication - Smart Card '
db 05 ; 0x5
db 'Communication - misc '
db 128 ; 0x80
ClassID 2, 0, , 'Network - Ethernet'
ClassID 2, 1, , 'Network - Token Ring'
ClassID 2, 2, , 'Network - FDDI'
ClassID 2, 3, , 'Network - ATM'
ClassID 2, 4, , 'Network - ISDN'
ClassID 2, 5, , 'Network - WorldFip'
ClassID 2, 6, , 'Network - PICMG 2.14'
ClassID 2, 0x80, , 'Network - misc'
 
Class8:
db ' ' ;System - PIC
db 00 ; 0x0
db ' ' ;System - DMAC
db 01 ; 0x1
db ' ' ;System - Timer
db 02 ; 0x2
db ' ' ;System - RTC
db 03 ; 0x3
db 'System - PCI Hot-Plug '
db 04 ; 0x4
db 'System - misc '
db 128 ; 0x80
ClassID 3, 0, , 'Display - VGA controller'
ClassID 3, 0, 0, 'Display - VGA-compatible c.'
ClassID 3, 0, 1, 'Display - 8514-compatible c.'
ClassID 3, 1, , 'Display - XGA controller'
ClassID 3, 2, , 'Display - 3D controller'
ClassID 3, 0x80, , 'Display - misc'
 
Class9:
db 'Input - Keyboard '
db 00 ; 0x0
db 'Input - Digitazer (pen) '
db 01 ; 0x1
db 'Input - Mouse '
db 02 ; 0x2
db 'Input - Scanner '
db 03 ; 0x3
db ' ' ; Input - Gameport
db 04 ; 0x4
db 'Input - misc '
db 128 ; 0x80
ClassID 4, 0, , 'Multimedia - Video'
ClassID 4, 1, , 'Multimedia - Audio'
ClassID 4, 2, , 'Multimedia - Computer telephony'
ClassID 4, 0x80, , 'Multimedia - misc'
 
ClassA:
db 'Docking - Generic station '
db 00 ; 0x0
db 'Docking - misc '
db 128 ; 0x80
ClassID 5, 0, , 'Memory - RAM'
ClassID 5, 1, , 'Memory - Flash memory'
ClassID 5, 0x80, , 'Memory - misc'
 
ClassID 6, 0, , 'Bridge - CPU/PCI'
ClassID 6, 1, , 'Bridge - PCI/ISA'
ClassID 6, 2, , 'Bridge - PCI/EISA'
ClassID 6, 3, , 'Bridge - PCI/MCA'
ClassID 6, 4, , 'Bridge - PCI/PCI'
ClassID 6, 4, 1, 'Bridge - Subtract.Decode PCI/PCI'
ClassID 6, 5, , 'Bridge - PCMCIA'
ClassID 6, 6, , 'Bridge - NuBus'
ClassID 6, 7, , 'Bridge - CarClassIDus'
ClassID 6, 8, , 'Bridge - RACEway'
ClassID 6, 9, , 'Bridge - Semi-transpar. PCI/PCI'
ClassID 6, 9, 0x40, 'Bridge - Semi-transp. PCI/PCI 1'
ClassID 6, 9, 0x80, 'Bridge - Semi-transp. PCI/PCI 2'
ClassID 6, 0x0a, , 'Bridge - InfiniBand/PCI'
ClassID 6, 0x80, , 'Bridge - misc'
 
ClassB:
db 'Processor - 386 '
db 00 ; 0x0
db 'Processor - 486 '
db 01 ; 0x1
db 'Processor - Pentium '
db 02 ; 0x2
db 'Processor - Alpha '
db 16 ; 0x10
db 'Processor - PowerPC '
db 32 ; 0x20
db 'Processor - MIPS '
db 48 ; 0x30
db 'Processor - Co-processor '
db 64 ; 0x40
ClassID 7, 0, , 'Communication - Serial'
ClassID 7, 0, 0, 'Communication - Serial (XT)'
ClassID 7, 0, 1, 'Communication - Serial c.(16450)'
ClassID 7, 0, 2, 'Communication - Serial c.(16450)'
ClassID 7, 0, 3, 'Communication - Serial c.(16450)'
ClassID 7, 0, 4, 'Communication - Serial c.(16450)'
ClassID 7, 0, 5, 'Communication - Serial c.(16450)'
ClassID 7, 0, 6, 'Communication - Serial c.(16450)'
ClassID 7, 1, , 'Communication - Parallel port'
ClassID 7, 1, 1, 'Communication - Bi-dir. par.port'
ClassID 7, 1, 2, 'Communication - ECP 1.X par.port'
ClassID 7, 1, 3, 'Communication - IEEE1284 c.'
ClassID 7, 1, 0xfe, 'Communication - IEEE1284 device'
ClassID 7, 2, , 'Communication - Multiport Serial'
ClassID 7, 3, , 'Communication - Modem'
ClassID 7, 3, 0, 'Communication - Generic modem'
ClassID 7, 3, 1, 'Communication - Hayes modem 16450'
ClassID 7, 3, 2, 'Communication - Hayes modem 16450'
ClassID 7, 3, 3, 'Communication - Hayes modem 16450'
ClassID 7, 3, 4, 'Communication - Hayes modem 16450'
ClassID 7, 4, , 'Communication - GPIB'
ClassID 7, 5, , 'Communication - Smart Card'
ClassID 7, 0x80, , 'Communication - misc'
 
ClassC:
db ' ' ;Serial Bus - FireWire
db 00 ; 0x0
db 'Serial Bus - ACCESS.bus '
db 01 ; 0x1
db 'Serial Bus - SSA '
db 02 ; 0x2
db ' ' ;Serial Bus - USB/USB2
db 03 ; 0x3
db 'Serial Bus - Fibre Channel '
db 04 ; 0x4
db 'Serial Bus - SMBus '
db 05 ; 0x5
db 'Serial Bus - InfiniBand '
db 06 ; 0x6
db ' ' ;Serial Bus - IPMI
db 07 ; 0x7
db 'Serial Bus - SERCOS '
db 08 ; 0x8
db 'Serial Bus - CANbus '
db 09 ; 0x9
ClassID 8, 0, , 'System - PIC'
ClassID 8, 0, 0, 'System - Generic 8259 PIC'
ClassID 8, 0, 1, 'System - ISA PIC'
ClassID 8, 0, 2, 'System - EISA PIC'
ClassID 8, 0, 0x10, 'System - I/O APIC interrupt c.'
ClassID 8, 0, 0x20, 'System - I/O(x) APIC interrupt c'
ClassID 8, 1, , 'System - DMAC'
ClassID 8, 1, 0, 'System - Generic 8237 DMA c.'
ClassID 8, 1, 1, 'System - ISA DMA c.'
ClassID 8, 1, 2, 'System - EISA DMA c.'
ClassID 8, 2, , 'System - Timer'
ClassID 8, 2, 0, 'System - 8254 system timer'
ClassID 8, 2, 1, 'System - ISA system timer'
ClassID 8, 2, 2, 'System - EISA (2 system timers)'
ClassID 8, 3, , 'System - RTC'
ClassID 8, 3, 0, 'System - Generic RTC c.'
ClassID 8, 3, 1, 'System - ISA RTC c.'
ClassID 8, 4, , 'System - PCI Hot-Plug'
ClassID 8, 5, , 'System - SDHCI'
ClassID 8, 0x80, , 'System - misc'
 
ClassD:
db 'Wireless - iRDA '
db 00 ; 0x0
db 'Wireless - Consumer IR '
db 01 ; 0x1
db 'Wireless - RF '
db 16 ; 0x10
db 'Wireless - Bluetooth '
db 17 ; 0x11
db 'Wireless - Broadband '
db 18 ; 0x12
db 'Wireless - Ethernet (802.11a) '
db 32 ; 0x20
db 'Wireless - Ethernet (802.11b) '
db 33 ; 0x21
db 'Wireless - misc '
db 128 ; 0x80
ClassID 9, 0, , 'Input - Keyboard'
ClassID 9, 1, , 'Input - Digitazer (pen)'
ClassID 9, 2, , 'Input - Mouse'
ClassID 9, 3, , 'Input - Scanner'
ClassID 9, 4, , 'Input - Gameport'
ClassID 9, 4, 0, 'Input - Gameport c. (generic)'
ClassID 9, 4, 0x10, 'Input - Gameport c. (legacy)'
ClassID 9, 0x80, , 'Input - misc'
 
ClassE:
db ' ' ;Intelligent I/O - I/O contr.
db 00 ; 0x0
ClassID 0x0a, 0, , 'Docking - Generic station'
ClassID 0x0a, 0x80, , 'Docking - misc'
 
ClassF:
db 'Satellite Communication - TV '
db 01 ; 0x1
db 'Satellite Communication - Audio '
db 02 ; 0x2
db 'Satellite Communication - Voice '
db 03 ; 0x3
db 'Satellite Communication - Data '
db 04 ; 0x4
ClassID 0x0b, 0, , 'Processor - 386'
ClassID 0x0b, 1, , 'Processor - 486'
ClassID 0x0b, 2, , 'Processor - Pentium'
; ClassID 0x0b, 0x10, , 'Processor - Alpha' ;
; ClassID 0x0b, 0x20, , 'Processor - PowerPC' ; it`s realy need for PCIDEV???
; ClassID 0x0b, 0x30, , 'Processor - MIPS' ;
ClassID 0x0b, 0x40, , 'Processor - Co-processor'
 
Class10:
db 'En/Decryption - Network&comput. '
db 00 ; 0x0
db 'En/Decryption - Entertainment '
db 16 ; 0x10
db 'En/Decryption - misc '
db 128 ; 0x80
ClassID 0x0c, 0, , 'Serial Bus - FireWire'
ClassID 0x0c, 0, 0, 'Serial Bus - IEEE 1394(FireWire)'
ClassID 0x0c, 0, 0x10, 'Serial Bus - IEEE 1394(Open HCI)'
ClassID 0x0c, 1, , 'Serial Bus - ACCESS.bus'
ClassID 0x0c, 2, , 'Serial Bus - SSA'
ClassID 0x0c, 3, , 'Serial Bus - USB/USB2'
ClassID 0x0c, 3, 0, 'Serial Bus - USB Universal HC'
ClassID 0x0c, 3, 0x10, 'Serial Bus - USB Open HC'
ClassID 0x0c, 3, 0x20, 'Serial Bus - USB2 Enhanced HC'
ClassID 0x0c, 3, 0x80, 'Serial Bus - USB w/o specific PI'
ClassID 0x0c, 3, 0xfe, 'Serial Bus - USB device (not HC)'
ClassID 0x0c, 4, , 'Serial Bus - Fibre Channel'
ClassID 0x0c, 5, , 'Serial Bus - SMBus'
ClassID 0x0c, 6, , 'Serial Bus - InfiniBand'
ClassID 0x0c, 7, , 'Serial Bus - IPMI'
ClassID 0x0c, 7, 0, 'Serial Bus - IPMI SMIC I.'
ClassID 0x0c, 7, 1, 'Serial Bus - IPMI Kybd CSI'
ClassID 0x0c, 7, 2, 'Serial Bus - IPMI BTI'
ClassID 0x0c, 8, , 'Serial Bus - SERCOS'
ClassID 0x0c, 9, , 'Serial Bus - CANbus'
 
Class11:
db 'DSP - DPIO modules '
db 00 ; 0x0
db 'DSP - Performance counters '
db 01 ; 0x1
db 'DSP - Sync./Test/Measurement '
db 16 ; 0x10
db 'DSP - Managamant card '
db 32 ; 0x20
db 'DSP - misc '
db 128 ; 0x80
ClassID 0x0d, 0, , 'Wireless - iRDA'
ClassID 0x0d, 1, , 'Wireless - Consumer IR'
ClassID 0x0d, 0x10, , 'Wireless - RF'
ClassID 0x0d, 0x11, , 'Wireless - Bluetooth'
ClassID 0x0d, 0x12, , 'Wireless - BroaClassIDand'
ClassID 0x0d, 0x20, , 'Wireless - Ethernet (802.11a)'
ClassID 0x0d, 0x21, , 'Wireless - Ethernet (802.11b)'
ClassID 0x0d, 0x80, , 'Wireless - misc'
 
ClassID 0x0e, 0, , 'Intelligent I/O - c.(FIFO @ 40h)'
ClassID 0x0e, 0, 0, 'Intelligent I/O - I/O c. (I2O 1)'
 
ClassID 0x0f, 1, , 'Satellite Communication - TV'
ClassID 0x0f, 2, , 'Satellite Communication - Audio'
ClassID 0x0f, 3, , 'Satellite Communication - Voice'
ClassID 0x0f, 4, , 'Satellite Communication - Data'
 
ClassID 0x10, 0, , 'En/Decryption - Network&comput.'
ClassID 0x10, 0x10, , 'En/Decryption - Entertainment' ; 0x10, 0x01,, ???
ClassID 0x10, 0x80, , 'En/Decryption - misc'
 
ClassID 0x11, 0, , 'DSP - DPIO modules'
ClassID 0x11, 1, , 'DSP - Performance counters'
ClassID 0x11, 0x10, , 'DSP - Sync./Test/Measurement'
ClassID 0x11, 0x20, , 'DSP - Managamant card'
ClassID 0x11, 0x80, , 'DSP - misc'
 
ClassID 0xff, 0xff, , 'Unknown' ; <- terminator